Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 65829. Отображено 199.
23-03-2023 дата публикации

РЕАКТОРНОЕ УСТРОЙСТВО ДЛЯ ХИМИЧЕСКОГО ОСАЖДЕНИЯ ИЗ ПАРОВОЙ ФАЗЫ (PCVD) НА БОЛЬШОЙ ПЛОЩАДИ И СПОСОБ ОБЕСПЕЧЕНИЯ ТАКОГО ОСАЖДЕНИЯ

Номер: RU2792759C2

Изобретение относится к области активированного микроволновой плазмой химического осаждения из паровой фазы. Технический результат - повышение площади химического осаждения из паровой фазы. Устройство содержит реакторную камеру, приспособленную для обеспечения плазменной зоны внутри реакторной камеры посредством электромагнитной энергии на первой частоте, и составную, направленную вправо/влево CRLH-волноводную секцию, приспособленную для работы с бесконечной длиной волны на первой частоте и имеющую в стенке средство передачи, предназначенное для передачи электромагнитной энергии из внутреннего пространства CRLH-волноводной секции во внутреннее пространство реакторной камеры. 2 н. и 15 з.п. ф-лы, 15 ил.

Подробнее
06-09-2018 дата публикации

СВЧ-ПЛАЗМЕННЫЙ РЕАКТОР ДЛЯ ИЗГОТОВЛЕНИЯ СИНТЕТИЧЕСКОГО АЛМАЗНОГО МАТЕРИАЛА

Номер: RU2666135C2

Изобретение относится к СВЧ-плазменному реактору для изготовления синтетического алмазного материала посредством химического парофазного осаждения. Устройство содержит плазменную камеру, ограничивающую резонатор для поддержки основной СВЧ-резонансной моды с частотой f основной СВЧ-резонансной моды, множество источников СВЧ-излучения, связанных с плазменной камерой, для генерации и подачи СВЧ-излучения с суммарной СВЧ-мощностью PT в плазменную камеру, систему газоходов для подачи технологических газов в плазменную камеру и их отвода из нее. Также предусмотрено наличие подложкодержателя, расположенного в плазменной камере и содержащего опорную поверхность для поддержки подложки, на которой при применении должен осаждаться синтетический алмазный материал. При этом множество источников СВЧ-излучения выполнены с возможностью ввода по меньшей мере 30% суммарной СВЧ-мощности PT в плазменную камеру на частоте f основной СВЧ-резонансной моды. По меньшей мере некоторые из множества источников СВЧ-излучения ...

Подробнее
25-07-2019 дата публикации

ПЛАЗМЕННО-ИММЕРСИОННАЯ ИОННАЯ ОБРАБОТКА И ОСАЖДЕНИЕ ПОКРЫТИЙ ИЗ ПАРОВОЙ ФАЗЫ ПРИ СОДЕЙСТВИИ ДУГОВОГО РАЗРЯДА НИЗКОГО ДАВЛЕНИЯ

Номер: RU2695685C2

Изобретение относится к системе для плазменного напыления покрытий (варианты) и установке для плазменного напыления покрытий (варианты). Система содержит катод магнетрона с длинной кромкой и короткой кромкой. Магнитный полюс магнетрона обеспечивает создание электромагнитного барьера. По меньшей мере один удаленный дуговой разряд зажигается отдельно от катода магнетрона и в непосредственной близости от катода так, что он удерживается в пределах объема вблизи мишени магнетрона. Удаленный дуговой разряд распространяется параллельно длинной кромке мишени магнетрона и ограничивается поверхностью мишени с одной стороны и электромагнитным барьером со всех других сторон. Защитный кожух катода удаленного дугового разряда и защитный кожух анода проходят поверх дугового разряда и поперек короткой кромки катода магнетрона. Снаружи узла формирования плазмы расположена магнитная система, создающая магнитные силовые линии, которые проходят в плазму и удерживают плазму перед подложкой. Технический результат ...

Подробнее
10-01-2010 дата публикации

СПОСОБ МАГНЕТРОННОГО РАСПЫЛЕНИЯ И АППАРАТ ДЛЯ МАГНЕТРОННОГО РАСПЫЛЕНИЯ

Номер: RU2378415C2
Принадлежит: УЛВАК, ИНК. (JP)

Изобретение относится к способу и аппарату магнетронного распыления и позволяет значительно уменьшить аномальный разряд на поверхности мишени и неразмытые области, вызывающие отложение материала мишени. Несколько мишеней (8А, 8В, 8С, 8D) располагаются в вакууме так, чтобы быть электрически не зависимыми друг от друга, и распыление проводится путем создания магнетронного разряда вблизи мишеней (8А, 8В, 8С, 8D). Во время распыления к соседним мишеням (8А, 8В, 8С 8D) поочередно прикладываются напряжения, имеющие разность фаз 180 градусов, с определенным временным профилем. 2 н. и 5 з.п. ф-лы, 6 ил.

Подробнее
27-02-2003 дата публикации

СПОСОБ И УСТРОЙСТВО ДЛЯ ПЛАЗМЕННОЙ ОБРАБОТКИ ВНУТРЕННЕЙ ПОВЕРХНОСТИ ПЛАСТИКОВЫХ БУТЫЛОК

Номер: RU2199792C2

Изобретение относится к производству тары. Техническим результатом является повышение качества обработки и производительности процесса обработки внутренней поверхности пластиковых бутылок. В состав устройства обработки внутренней поверхности бутылки (1) с использованием плазменной технологии входят вакуумная камера (2), микроволновая ловушка (3) с микроволновым генератором (4), средства вакуумирования и средства подвода газа (5). Микроволновая ловушка (3) имеет цилиндрическую форму, отвечающую насколько это возможно по крайней мере форме корпусной части обрабатываемой бутылки (1). Со стороны бутылки (1) в микроволновую ловушку (3) подается микроволновое излучение, и микроволновая ловушка возбуждается по моде ТМ-резонанса. Устройство очень компактно и имеет простую конструкцию. Оно может встраиваться в устройство ориентированного формования раздувом или устройство розлива. Для промышленного применения множество однобутылочных устройств могут устанавливаться в ряд или составлять матрицу, ...

Подробнее
30-06-2020 дата публикации

Устройство для очистки поверхности образцов для электронной микроскопии

Номер: RU198294U1

Устройство предназначено для очистки поверхности образцов для электронной микроскопии. Очистка производится с помощью коронного разряда в воздушной среде при атмосферном давлении.Устройство содержит рабочую камеру с закрепленными в ней внешним коронирующим электродом малой площади с иглами на поверхности и опорным электродом-экстрактором, на котором располагается образец. Электроды подключены к вторичной обмотке высоковольтного импульсного трансформатора, на первичную обмотку которого непрерывно подается импульсное напряжение от внешнего источника, которое трансформатором повышается до 10 кВ или больше, что приводит к загоранию коронного разряда над поверхностью очищаемого образца.

Подробнее
24-07-2023 дата публикации

Устройство для модификации поверхности материалов посредством плазмы атмосферного давления

Номер: RU219545U1

Полезная модель относится к плазменной технике, в частности к источникам получения и управления потоком плазмы атмосферного давления, и может быть использована в различных областях науки и техники для модификации, очистки и обеззараживания различных поверхностей в микроэлектронике, оптике, микробиологии и медицине. Технический результат достигается созданием устройства плазмы атмосферного давления со специальной съемной рассеивающей насадкой. В качестве источника питания используется низкочастотный генератор (10-200 кГц). Создание такой насадки позволяет увеличить равномерность и площадь обрабатываемой поверхности различных материалов, что ускоряет процесс модификации в целом. Использование вместо высокочастотного источника питания низкочастотного позволяет упростить устройство. 4 з.п. ф-лы, 3 ил.

Подробнее
16-04-2024 дата публикации

Источник быстрых атомов для травления диэлектриков

Номер: RU2817564C1

Изобретение относится к области обработки изделий ускоренными ионами или быстрыми атомами и предназначено для получения изделий с повышенными характеристиками за счет удаления дефектного поверхностного слоя пучком быстрых атомов. Технический результат - повышение надежности и срока службы источника пучка быстрых атомов аргона при обработке диэлектрических изделий за счет снижения диэлектрических загрязнений на электродах источника. Источник быстрых атомов для травления диэлектриков содержит газоразрядную камеру, цилиндрический полый катод внутри камеры, анод внутри полого катода и перекрывающую выходное отверстие полого катода круглую вогнутую сетку. Напротив сетки установлен экран, проходящий через ее фокальную точку, с отверстием в области фокальной точки. 1 ил.

Подробнее
10-06-2005 дата публикации

СПОСОБ ПЛАЗМЕННОЙ ОЧИСТКИ ПОВЕРХНОСТИ МАТЕРИАЛА С ПОКРЫТИЕМ ИЗ ОРГАНИЧЕСКОГО ВЕЩЕСТВА И УСТАНОВКА ДЛЯ ЕГО ОСУЩЕСТВЛЕНИЯ

Номер: RU2004127923A
Принадлежит:

... 1. Способ очистки поверхности материала с покрытием из органического вещества, отличающийся тем, что он содержит следующие этапы: введение материала в обрабатывающую камеру, внутри которой давление составляет от 10 мбар до 1 бара, и в которую подается газовый поток с содержанием кислорода не менее 90 об.%, получение плазмы пропусканием электрического разряда между поверхностью материала и электродом с диэлектрическим покрытием с целью разложения органического веществ под действием образующихся при этом свободных радикалов О*. 2. Способ по п.1, отличающийся тем, что повторно диссоциируют молекулы кислорода и/или озона, образовавшиеся в результате рекомбинации свободных радикалов О*, возникших в указанной плазме. 3. Способ по п.1 или 2, отличающийся тем, что повторная диссоциация проводится посредством ультрафиолетового облучения при соответствующей длине волны. 4. Способ по п.1, отличающийся тем, что приложенное напряжение для инициирования разряда является синусоидальным и характеризуется ...

Подробнее
10-02-2015 дата публикации

МИКРОВОЛНОВЫЙ ПЛАЗМЕННЫЙ РЕАКТОР ДЛЯ ПРОИЗВОДСТВА СИНТЕТИЧЕСКОГО АЛМАЗНОГО МАТЕРИАЛА

Номер: RU2540399C1

Изобретение относится к области плазменной обработки материалов. Микроволновый плазменный реактор для производства синтетического алмазного материала с помощью химического осаждения из газовой фазы содержит: микроволновый генератор, сконфигурированный для генерации микроволн на частоте f; плазменную камеру, содержащую основание, верхнюю пластину и боковую стенку, простирающуюся от основания до верхней пластины, задавая объемный резонатор, для поддержания микроволновой резонансной моды, причем объемный резонатор имеет центральную вращательную ось симметрии, простирающуюся от основания до верхней пластины, и верхняя пластина установлена поперек центральной вращательной оси симметрии; конфигурацию микроволновой связи для подачи микроволн от микроволнового генератора в плазменную камеру; систему газового потока для подачи технологических газов в плазменную камеру и удаления их оттуда и держатель подложки, расположенный в плазменной камере и содержащий поддерживающую поверхность для поддержания ...

Подробнее
20-05-2015 дата публикации

ИСКРОВОЕ ИСПАРЕНИЕ УГЛЕРОДА

Номер: RU2013150078A
Принадлежит:

... 1. Способ осуществления импульсного прерывистого искрового разряда, который осуществляется посредством разряда по меньшей мере одного конденсатора, и соответствующим током разряда управляют посредством периодического подключения и отключения конденсатора, причем при отключенном конденсаторе в течение временных интервалов отключения не подают ток от источника питания, отличающийся тем, что в течение временных интервалов подключения ток разряда при достижении предварительно установленной величины кратковременно прерывают, и это приводит в пределах импульса к образованию подимпульсов.2. Способ по п.1, отличающийся тем, что по меньшей мере первое множество временных интервалов отключения выбирают настолько короткими, что по истечении соответствующего времени подключения конденсатора без труда происходит повторное зажигание искрового разряда.3. Способ по п.1 или 2, отличающийся тем, что первое зажигание искрового разряда осуществляют посредством устройства зажигания, предпочтительно посредством ...

Подробнее
24-10-2013 дата публикации

Mikrowellenplasmaerzeugungsvorrichtung und Verfahren zu deren Betrieb

Номер: DE102012103425A1
Принадлежит:

Die vorliegende Erfindung beinhaltet eine Mikrowellenplasmaerzeugungsvorrichtung mit einer Plasmakammer. Außerhalb der Plasmakammer ist wenigstens eine Mikrowellenerzeugungsvorrichtung vorgesehen, deren Mikrowellen über wenigstens eine Mikrowelleneinkoppelvorrichtung in die Plasmakammer eingekoppelt werden. Die Mikrowelleneinkoppelvorrichtung weist einen durch wenigstens eine Kammerwand der Plasmakammer in die Plasmakammer führenden Innenleiter, ein den Innenleiter einschließendes und den Innenleiter von einem Innenraum der Plasmakammer abtrennendes Isolierrohr und wenigstens einen durch die wenigstens eine Kammerwand in die Plasmakammer führenden, koaxial, aber nicht vollumfänglich um den gesamten Innenleiter vorgesehenen Außenleiter auf. Der Außenleiter besitzt in der Plasmakammer wenigstens ein Außenleiter-Ende. Der Innenleiter und der Außenleiter bilden eine Mikrowellenleitung aus, wobei in der Plasmakammer ein Austritt von Mikrowellen aus der Mikrowellenleitung zur Erzeugung eines ...

Подробнее
17-07-2008 дата публикации

Ionenquelle und Plasma-Bearbeitungsvorrichtung

Номер: DE112006002412T5
Принадлежит: ULVAC INC, ULVAC INC.

Ionenquelle mit: einer Plasmaerzeugungskammer; einer Trennwand aus einem dielektrischen Material, die die Plasmaerzeugungskammer abteilt; einer Hochfrequenzantenne, die außerhalb der Trennwand installiert ist und in der Plasmaerzeugungskammer ein Plasma erzeugt; und einer Struktur, die im Inneren der Plasmaerzeugungskammer installiert ist, wobei diese Struktur aus einem dielektrischen Material besteht und der Abscheidung an einer der Hochfrequenzantenne zugewandten Innenseite der Trennwand entgegenwirkt.

Подробнее
02-12-1993 дата публикации

Vacuum treatment chamber - with a low voltage discharge with arcing on treatment chamber walls prevented

Номер: DE0004310941A1
Принадлежит:

A process for generating a low voltage discharge between a thermionic cathode (3) in a cathode chamber (1) and an anode (38,36) in a connected vacuum treatment chamber (11) via a diaphragm arrangement. Arc discharge is essentially prevented on the portions (17) of the treatment chamber (11) around the diaphragm by means of a screen (20) operated so as to be electrically floating and maintained at the dark space distance (d( with respect to the treatment chamber portions (17) around the diaphragm. Also claimed is a process in which the cathode chamber and or treatment chamber diaphragm side is provided with a pipe socket to increase its pressure stage effect and a process for igniting and operating a low voltage discharge. A vacuum treatment installation with a treatment chamber (11) and a cathode chamber (1) and a cathode chamber for generating a low voltage discharge are also claimed.

Подробнее
01-03-2012 дата публикации

Device for treating a substrate using plasma, comprises plasma units for generating plasma in a plasma area of the plasma units, and a control arrangement to control the first and second plasma units independent from one another

Номер: DE102010053363A1
Принадлежит:

The device for treating a substrate (2) using plasma, comprises plasma units for generating plasma in a plasma region of the plasma units, where the plasma region of the first plasma unit overlaps an emission region of the two adjacent second plasma units, and a control arrangement to control the first and second plasma units independent from one another. The first plasma unit has an ignition output that is smaller than the ignition output of the second plasma unit. The first plasma unit is arranged external of a projection area of the substrate to be treated. The device for treating a substrate (2) using plasma, comprises plasma units for generating plasma in a plasma region of the plasma units, where the plasma region of the first plasma unit overlaps an emission region of the two adjacent second plasma units, and a control arrangement to control the first and second plasma units independent from one another. The first plasma unit has an ignition output that is smaller than the ignition ...

Подробнее
21-09-2005 дата публикации

Microwave plasma reactor

Номер: GB0000516695D0
Автор:
Принадлежит:

Подробнее
10-09-1997 дата публикации

Plasma processing apparatus

Номер: GB0009714341D0
Автор:
Принадлежит:

Подробнее
02-02-2011 дата публикации

A microwave plasma reactor for manufacturing synthetic diamond material

Номер: GB0201021870D0
Автор:
Принадлежит:

Подробнее
26-04-1967 дата публикации

Reduction of metal oxides

Номер: GB0001066866A
Автор:
Принадлежит:

In a process for the reduction of metal oxides (e.g. of iron or chromium) they are subjected in the fused condition to the action of a directionally stable plasma arc containing a hydrocarbon gas. The hydrocarbon gas may be methane which may also comprise the arc support gas but this latter may also be A, H2, He, N2, CO, Xe or Ne. In examples the iron oxide or chromium oxide content of a slag is reduced and the metal values descend through the slag into a pool of iron. Small amounts of lime, fluorspar or silica may be added to the slag to make it more fluid.

Подробнее
29-06-2005 дата публикации

Electromagnet arrangement for generating a uniform planar magnetic field

Номер: GB0002409581A
Принадлежит:

An electromagnet comprises a winding or windings 13, 15 arranged such that each effective turn of the winding or windings follows the perimeter of a U-shaped core 11, 16. The said electromagnet generates a uniform magnetic field about a planar object 20 which is parallel to the internal base section of the U-shaped perimeter. The U-shaped electromagnet may be formed by a planar electromagnet 13 with an auxiliary coil 15 and core 16 positioned adjacent respective edges of the windings of the planar electromagnet 13 such that they project beyond the face of the planar electromagnet 13. One or more independent power supplies may be used to activate one or more coils. The auxiliary coils may include various tapping points such that the number of windings of respective coils can be adjusted. Current level and number of windings may be adjusted to tune the coils. There is also disclosed a uniform magnetic field generating apparatus comprising a planar electromagnet with auxiliary coils disposed ...

Подробнее
03-02-2010 дата публикации

Nanomaterials growth system and method

Номер: GB0000922228D0
Автор:
Принадлежит:

Подробнее
27-06-2012 дата публикации

A microwave plasma reactor for manufacturing synthetic diamond material

Номер: GB0002486779A
Принадлежит:

A microwave plasma reactor for manufacturing synthetic diamond material via chemical vapour deposition comprises a plasma chamber 102, a substrate holder 104 disposed in the plasma chamber for supporting a substrate 105, a microwave coupling configuration 110 for feeding microwaves from a microwave generator 106 into the plasma chamber, and a gas flow system 112, 122 for feeding process gases into the plasma chamber and removing them therefrom. The gas flow system comprises a gas inlet nozzle array 120 for directing process gases towards the substrate holder, the array being disposed opposite the substrate holder and comprising at least six gas inlet nozzles disposed in a substantially parallel or divergent orientation relative to a central axis of the plasma chamber. The gas inlet nozzle array has a gas inlet nozzle number density equal to or greater than 0.1 nozzles per cm2 and a nozzle area ratio, defined as the ratio of the area associated with each nozzle and an actual area of each ...

Подробнее
25-05-2016 дата публикации

A microwave plasma reactor for manufacturing synthetic diamond material

Номер: GB0002532532A
Принадлежит:

Microwave plasma reactor (MW-CVD) for chemical vapour deposition (CVD) is described. The plasma chamber 2 forms a resonant cavity 10 for supporting primary microwave resonance mode with a primary microwave resonance mode frequency (f). A number of microwave sources are coupled to the plasma chamber 10 to generate and feed microwaves with a total microwave power (PT) into the plasma chamber 2. A gas flow system 12,14 for feeding process gases into the plasma chamber and removing them is detailed, together with a substrate holder 16 located in the plasma chamber and comprising a supporting surface for supporting a substrate 18 on which the synthetic diamond material is to be deposited in use.

Подробнее
26-03-1969 дата публикации

Process and apparatus for the treatment of materials to produce dispersion thereof

Номер: GB0001146462A
Автор: MESZAROS LAJOS
Принадлежит:

... 1,146,462. Organometallic compounds. METRIMPEX MAGYAR MUSZERIPARI KULKERESKEDELMI VALLALAT. 18 March, 1966 [20 March, 1965], No. 12183/66. Heading C2J. [Also in Divisions B3 and C7] Materials such as electrolytic solutions and molten metals are atomized by moving the material into a magnetic field in the form of a freely falling stream and passing an electric current through said material while it is in the magnetic field so that a force is applied to the material by the interaction of the magnetic field and that produced by the electric current to produce a spray of the material, the electric current being supplied to the material through needle - shaped electrodes. By producing metal spray in a slowly flowing organic halogen derivative, Reformatski, Grignard and Wurtz syntheses can be carried out continuously, organo metallic compounds such as triethyl aluminium or diethyl zinc can be produced. The process may be carried out using A.C. current. The form shown comprises a reservoir 1 containing ...

Подробнее
19-04-1939 дата публикации

An improved method of and apparatus for carrying out chemical reactions

Номер: GB0000504048A
Автор:
Принадлежит:

... 504,048. Electrical treatment of gases. BERGHAUS, B. Nov. 16, 1937, No. 31545. Convention date, Nov. 28, 1936. [Class 39 (i)] [Also in Group III] Gaseous or finely divided substances are caused to react at sub-atmospheric pressure in presence ofa cathode material disintegrated by means of an electric voltage applied to electrodes at opposite sides of the reaction chamber. As illustrated, the reactants may be supplied and discharged through perforations in the cathode 8 and anode 9 respectively. The cathode may be heated to assist disintegration by means (not shown) such as highfrequency currents or resistance or arc heating. The stream of disintegrated material may be further heated by means of high-frequency currents in coil 28, and may be concentrated into a beam by a direct current in coil 25. The cathode preferably consists of metal or alloy of high melting point, and may be catalytic.

Подробнее
09-01-2019 дата публикации

Glass dielectric capacitors manufacturing processes for glass dielectric capacitors

Номер: GB0201818943D0
Автор:
Принадлежит:

Подробнее
15-07-2007 дата публикации

INDUCTIVE PLASMA TREATMENT DEVICE WITH A CURRENT SENSOR FOR PLASMA FIELD COIL

Номер: AT0000364896T
Принадлежит:

Подробнее
15-09-2009 дата публикации

SYSTEM AND PROCEDURE FOR THE ANALYSIS OF THE CURRENT FLOW IN SEMICONDUCTOR PLASMA PRODUCTION SYSTEMS

Номер: AT0000441203T
Автор: SWANK JOHN, SWANK, JOHN
Принадлежит:

Подробнее
15-05-2011 дата публикации

INDUCTIVELY COUPLING LOW PRESSURE PLASMA REACTOR FOR PLASMAS OF HIGH ONES DENSITY

Номер: AT0000509364T
Принадлежит:

Подробнее
15-12-2002 дата публикации

GLOW DISCHARGE PLASMAVORRICHUNG

Номер: AT0000229227T
Принадлежит:

Подробнее
10-10-1968 дата публикации

Procedure and device for the material processing by means of radiation energy

Номер: AT0000265457B
Принадлежит:

Подробнее
05-09-2013 дата публикации

A plasma based surface augmentation method

Номер: AU2012215156A1
Принадлежит:

A method of modifying a substrate using a plasma is disclosed. The method comprises providing a first electrode 4 and a second electrode 6; arranging the substrate such that only a portion of the substrate is between the electrodes 4,6; and rotating either the substrate or at least one of the electrodes 4,6 about an axis so as to cause different portions of said substrate to pass between the electrodes during the rotation. A voltage is supplied to at least one of the electrodes 4,6 so as to create a plasma discharge between the electrodes 4,6 which contacts at least the portions of the substrate that pass between the electrodes 4,6. The electrodes 4,6 and the substrate are arranged such that the rotating causes the speed of transit of the substrate portion between the electrodes 4,6 to vary in a radial direction away from the axis of rotation and so that the rate that the plasma discharge modifies the substrate varies across the substrate.

Подробнее
18-05-2000 дата публикации

Glow plasma discharge device

Номер: AU0000720025B2
Принадлежит:

Подробнее
09-05-2002 дата публикации

Method and apparatus for treating the inside surface of plastic bottles in a plasma enhanced process

Номер: AU0000747272B2
Принадлежит:

Подробнее
23-10-1979 дата публикации

GAS DISCHARGE APPARATUS

Номер: CA0001064862A1
Автор: JACOB ADIR
Принадлежит:

Подробнее
16-10-2008 дата публикации

ABLATIVE PLASMA GUN

Номер: CA0002628394A1
Принадлежит:

A plasma gun (20) with two gap electrodes on opposite ends of a chamber (28) of ablative material (26) such as an ablative polymer. The gun ejects an ablative plasma (34) at supersonic speed. A divergent nozzle (30) spreads the plasma jet to fill a gap (58) between electrodes of a main arc device (50), such as an arc crowbar (70) or a high voltage power switch. The plasma triggers the main arc device (50) by lowering the impedance of the main arc gap (58) via the ablative plasma (34) to provide a conductive path between the main electrodes. This provides faster triggering and requires less trigger energy than previous arc triggers. It also provides a more conductive initial main arc than previously possible. The initial properties of the main arc are controllable by the plasma properties, which are in turn controllable by design parameters of the ablative plasma gun.

Подробнее
06-11-2014 дата публикации

VERSATILE HOLDER FOR THE SURFACE TREATMENT OF ROD-SHAPED SUBSTRATES

Номер: CA0002921064A1
Принадлежит:

The invention relates to a holder for securing at least one rod-shaped substrate body (10) having a variable cross-section along the substrate body and having a substrate body region BQ1 comprising a surface to be treated. The holder comprises at least one perforated wall as the front wall (3), said wall having at least one opening (5), and also comprises a support element (9) and a retaining element (11). The support element (9) is constructed and/or secured in the opening (5) in such a way that at least part of the substrate body can be arranged longitudinally and obliquely in the support element (9) and the retaining element (11) is constructed and/or secured in the opening (5) in such a way that said retaining element (11) can retain the substrate body at a point between the region BQ1 and the remainder of the substrate body such that the region BQ1 protrudes from the holder through the opening (5).

Подробнее
20-05-2010 дата публикации

IGNITION APPARATUS FOR ARC SOURCES

Номер: CA0002742870A1
Принадлежит:

The present invention relates to an ignition device for igniting a high-current discharge of an electrical arc evaporator in a vacuum coating system. Ignition is performed by means of mechanically closing and opening a contact between the cathode and anode. Contact is established by means of an ignition finger which can move on a forced path. On account of the forced path, the ignition finger can be moved to a park position, which is protected against coating, by means of a simple mechanical drive and said ignition finger can also be used to ignite a second target.

Подробнее
06-08-2019 дата публикации

CARBON SPARK EVAPORATION

Номер: CA0002833048C

The invention relates to a method for operating a pulsed discontinuous spark discharge. The spark is fed via a capacitor. Between the pulses there are switched-off time intervals during which no spark current flows Within the pulses, that is to say during the switched-on time intervals, the supply of charge is stopped upon a current threshold being reached and is restarted, with the result that subpulses occur within the pulses. The time intervals and subpulses are chosen according to the invention such that when the capacitor is switched on again, the spark discharge readily ignites again.

Подробнее
01-05-2018 дата публикации

METHOD AND DEVICE FOR PRODUCTION OF ACETYLENE USING PLASMA TECHNOLOGY

Номер: CA0002865937C
Автор: SPITZL, RALF, SPITZL RALF
Принадлежит: SPITZL, RALF, SPITZL RALF

Method and device for production of acetylene using plasma technology, wherein a gas containing at least one type of hydrocarbon is fed into a non-thermal plasma of a plasma source.

Подробнее
19-06-2014 дата публикации

PLASMA SOURCE

Номер: CA0002894942A1
Принадлежит:

The present invention relates to a plasma generating device comprising a plasma source having a plasma source hollow body (1) and an electron emission unit (5) which makes it possible to emit free electrons into the plasma source hollow body, wherein the plasma source hollow body (1) has a first gas inlet (7a) and a plasma source opening (10) which forms an opening to a vacuum chamber, and further comprising an anode having an anode hollow body (2), wherein the anode hollow body (2) has a second gas inlet (7b) and an anode opening (11) which forms an opening to the vacuum chamber, and a voltage source (8) the negative pole of which is connected to the electron emission unit (5) and the positive pole of which is connected to the anode hollow body (2), wherein the positive pole of the voltage source (8) is additionally electrically connected by means of a first shunt (6a) to the plasma source hollow body.

Подробнее
11-01-1993 дата публикации

ARRANGEMENT FOR COUPLING IN OF MICROWAVE ENERGY

Номер: CA0002073391A1
Принадлежит:

An arrangement is disclosed for coupling in of microwave energy into a reaction chamber (14), exhibiting electrically stable tuning and being, within limits, tolerant against changes in critical dimensions and power fluctuations. The coaxial line (4) between the hollow waveguide (2) and the reaction chamber (14) is of such a structure that it forms, at least together with the reaction chamber (14), a lossy resonator for the microwave frequency employed. The coaxial line (4) can comprise an absorber (10) or, alternatively, can be made of a poorly conductive material. There is also the possibility of downgrading the quality of the resonator by leaving an annular gap (15) between the metal plate (13) and the outer conductor section (6). (Figure 1) ...

Подробнее
21-11-1996 дата публикации

ELECTRODE CLAMPING ASSEMBLY AND METHOD FOR ASSEMBLY AND USE THEREOF

Номер: CA0002220678A1
Принадлежит:

An electrode clamping assembly for a plasma reaction chamber wherein processing of a single wafer can be carried out. The electrode assembly includes a support member (32), an electrode (30) such as a silicon showerhead electrode in the form of a disk having uniform thickness and a clamping ring (34) which provides a resilient clamping force pressing against the showerhead electrode. The clamping member can be ring of elastically deformable material and the clamping member can be tightened by a plurality of elastic spacedapart bolts (35) such that the clamping member is compressed and provides the resilient clamping force throughout temperature cycling of the electrode assembly during wafer processing. Heat conduction between the showerhead electrode and the support member can be improved by supplying pressurized process gas to a gap therebetween. The clamping member also provides plasma confinement in an area between the electrode and the wafer being processed.

Подробнее
30-12-2008 дата публикации

RECTANGULAR CATHODIC ARC SOURCE AND METHOD OF STEERING AN ARC SPOT

Номер: CA0002268659C

The invention provides an arc coating apparatus having a steering magnetic field source comprising a plurality of electrically independent closed-loop steering conductors disposed in the vicinity of the target surface, each steering conductor being controlled independently of the other steering conductors. Increasing the current through one steering conductor increases the strength of the magnetic field generated by that conductor relative to the steering conductor along the opposite side of the cathode plate, shifting the magnetic field on the opposite side of th e cathode plate transversely. Selective unbalancing of the steering conductor currents thus increases the effective breadth of the erosion zone to provide more uniform erosion of the target surface. The steering conductors may be disposed in front of or behind the target surface of the cathode plate. In a further embodiment, groups of steering conductors are disposed along opposite sides of the cathode plate. By selectively applying ...

Подробнее
31-05-1974 дата публикации

VERFAHREN ZUR ENERGIEBELADUNG EINES FLUIDEN MEDIUMS.

Номер: CH0000549930A
Автор:
Принадлежит: SHEER CHARLES, SHEER, CHARLES

Подробнее
15-07-1974 дата публикации

VERFAHREN ZUR ENERGIEBELADUNG EINES FLUIDEN MEDIUMS.

Номер: CH0000551729A
Автор:
Принадлежит: SHEER CHARLES, SHEER, CHARLES

Подробнее
15-12-1963 дата публикации

Verfahren zum Reinigen von Silan

Номер: CH0000373741A

Подробнее
31-05-1972 дата публикации

Verfahren zur Durchführung von Hochtemperaturreaktionen

Номер: CH0000523088A
Принадлежит: LONZA AG

Подробнее
15-06-1971 дата публикации

Plasma gas chemical reactions

Номер: CH0000508412A
Принадлежит: LONZA AG

Constituents are made to react by the heating effect of plasma from stable are discharge on a turbulent liquid. At least one of the constituents is made turbulent in liquid phase to stabilise the arc discharge.

Подробнее
15-11-1977 дата публикации

Номер: CH0000592999A5
Автор:
Принадлежит: LFE CORP, LFE CORP.

Подробнее
15-07-2014 дата публикации

Apparatus for performing a plasma-assisted process.

Номер: CH0000707466B1

Eine Vorrichtung zur Durchführung eines Plasma-unterstützten Prozesses, insbesondere einer Plasma-unterstützten, chemischen Abscheidung aus der Gasphase weist in einer Vakuumkammer mindestens eine Magnetron-Elektrode auf, die vom unausgeglichenen Typus ist und eine flache Magnetron-Front (20) mit peripheren und zentralen Magnetpolen entgegengesetzter Polarität aufweist und die an eine Quelle (34) einer Wechselspannung angeschlossen ist. Die Vorrichtung weist ferner ein Positioniermittel auf, mit dessen Hilfe ein Substrat (25) mit einer zu behandelnden Oberfläche gegen die Magnetron-Front gewandt positioniert wird, sowie ein Gaszuführungsmittel zur Zuführung eines Prozessgases oder einer Prozessgasmischung in den Zwischenraum zwischen der Magnetron-Front (20) und der zu behandelnden Oberfläche. Um ein Optimum an Prozess-Effizienz (z.B. Abscheidungsgeschwindigkeit) zu erreichen, wird der Abstand zwischen der Magnetron-Front (20) und der zu behandelnden Oberfläche an das durch die Magnetron-Elektrode ...

Подробнее
30-04-2010 дата публикации

СПОСОБ ФОРМИРОВАНИЯ ТОНКОЙ ПЛЕНКИ

Номер: EA0000013222B1

При формировании тонкой пленки на подложке с помощью плазмы при давлении атмосферы, близком к атмосферному давлению, можно контролировать частицы, образующиеся в результате реакции реакционного газа, и непрерывно формировать равномерную тонкую пленку, даже когда промежуток между электродом и подложкой задан более широким, чем в обычном способе. Электрическое питание подают на цилиндрический вращающийся электрод 12, ось вращения которого параллельна подложке, для генерирования плазмы в промежутке между этим вращающимся электродом 12 и подложкой S, и подаваемый реакционный газ G активируют посредством сгенерированной плазмы с образованием тонкой пленки на подложке S, причем на вращающийся электрод 12 подают высокочастотное электрическое питание с частотой от 100 кГц до 1 МГц.

Подробнее
30-06-2009 дата публикации

СПОСОБ ФОРМИРОВАНИЯ ТОНКОЙ ПЛЕНКИ

Номер: EA200970023A1
Принадлежит:

При формировании тонкой пленки на подложке с помощью плазмы при давлении атмосферы, близком к атмосферному давлению, можно контролировать частицы, образующиеся в результате реакции реакционного газа, и непрерывно формировать равномерную тонкую пленку, даже когда промежуток между электродом и подложкой задан более широким, чем в обычном способе. Электрическое питание подают на цилиндрический вращающийся электрод 12, ось вращения которого параллельна подложке, для генерирования плазмы в промежутке между этим вращающимся электродом 12 и подложкой S, и подаваемый реакционный газ G активируют посредством сгенерированной плазмы с образованием тонкой пленки на подложке S, причем на вращающийся электрод 12 подают высокочастотное электрическое питание с частотой от 100 кГц до 1 МГц.

Подробнее
17-06-1966 дата публикации

Improvements with the methods of formation of layers

Номер: FR0001442502A
Автор:
Принадлежит:

Подробнее
22-06-1970 дата публикации

ISOTOPE SEPARATION APPARATUS

Номер: FR0001596540A
Автор:
Принадлежит:

Подробнее
18-06-1971 дата публикации

METHOD FOR CARRYING OUT HIGH TEMPERATURE REACTIONS

Номер: FR0002060909A5
Автор:
Принадлежит:

Подробнее
25-09-1970 дата публикации

PROCESS FOR CARRYING OUT CHEMICAL REACTIONS

Номер: FR0002027085A1
Автор:
Принадлежит:

Подробнее
21-02-2018 дата публикации

Номер: TWI615882B
Автор: YE RUBIN, YE, RUBIN

Подробнее
16-08-2019 дата публикации

Apparatus for processing substrate

Номер: TW0201933541A
Принадлежит:

The present invention relates to a substrate processing apparatus includes: a supporting part supporting a substrate; a first electrode unit disposed on the supporting part; a second electrode unit disposed on the first electrode unit; a generating hole provided to pass through the first electrode unit; and a protrusion electrode coupled to the second electrode unit to protrude from the second electrode unit to a lower portion at a position corresponding to the generating hole, wherein the protrusion electrode is provided to have a length which is shorter than the first electrode unit with respect to a vertical direction.

Подробнее
16-01-2020 дата публикации

RF tailored voltage on bias operation

Номер: TW0202004827A
Принадлежит:

A method, system, and apparatus for reducing particle generation on a showerhead during an ion bombarding process in a process chamber are provided. First and second RF signals are supplied from an RF generator to an electrode embedded in a substrate support in the process chamber. The second RF signal is adjusted relative to the first RF signal in response to a measurement of a first RF amplitude, a second RF amplitude, a first RF phase, and a second RF phase. Ion bombardment on a substrate is maximized and the quantity of particles generated on the showerhead is minimized. Methods and systems described herein provide for improved ion etching characteristics while reducing the amount of debris particles generated from the showerhead.

Подробнее
16-01-2020 дата публикации

Plasma treatment apparatus

Номер: TW0202004831A
Принадлежит:

The present invention provides a technology with which it is possible to reduce treatment variation in the in-plane direction of a sample and to improve yield of the treatment. This plasma treatment apparatus 1 is provided with: a first electrode (base material 110B) disposed within a sample stage 110; a ring-shaped second electrode (conductor ring 114) disposed so as to surround the outer peripheral side of an upper face portion 310 (dielectric film portion 110A) of the sample stage 110; a ring-shaped member (susceptor ring 113) which is made of a dielectric material and which is disposed so as to cover the second electrode and to surround the outer periphery of the upper face portion 310; a plurality of power-feeding paths for supplying high-frequency power from a high-frequency power source to the first and second electrodes; and a matching device 117 disposed on the power-feeding path to the second electrode. In addition, a grounding location and a first location (A1), which is between ...

Подробнее
01-02-2021 дата публикации

Dry cleaning method using plasma and steam

Номер: TW202105463A
Принадлежит:

Provided is a dry cleaning method using plasma and steam. The method includes a reaction operation in which amorphous silicon, polycrystalline silicon, silicon oxide, or silicon nitride which is formed on a single crystalline silicon substrate is changed to a reactant containing ammonium hexafluorosilicate using reaction gas plasma-treated in a reaction chamber, a transfer operation in which the silicon substrate, on which the reactant is formed, is transferred to a reactant removal chamber which is provided separately from the reaction chamber, and a reactant removal operation in which high-temperature steam supplied through a steam supply port formed in an upper surface portion of the reactant removal chamber is sprayed onto the reactant so that the reactant is vaporized, and the vaporized reactant is discharged together with the high-temperature steam through a discharge port formed in a lower surface portion of the reactant removal chamber.

Подробнее
16-07-2021 дата публикации

Radio frequency cleaning device connected with ceramic air intake

Номер: TW202127535A
Принадлежит:

The present invention relates to a radio frequency cleaning device connected with a ceramic air intake, comprising an etching system, a cleaning system, a power supply control device, and a radio frequency cleaning mechanism. The power supply control device is connected to the etching system and the cleaning system and is configured to switch a power supply. The etching system is connected to two single coils of a 3D coil via two lines of a power distribution unit respectively, to etch a wafer in a chamber. The cleaning system connects the radio frequency cleaning mechanism to radio frequency, so that a high negative pressure is generated on a lower surface of a top ceramic air inlet nozzle connected to the radio frequency cleaning mechanism, and plasma thus directly impacts on the lower surface of the top ceramic air inlet nozzle. Therefore, the present invention can also clean the lower surface of the top ceramic air inlet nozzle while cleaning the chamber, thus avoiding the problem of ...

Подробнее
21-11-2018 дата публикации

Номер: TWI642139B
Принадлежит:

Подробнее
05-01-2012 дата публикации

Plasma-Generating Structures, Display Devices, and Methods of Forming Plasma-Generating Structures

Номер: US20120001539A1
Принадлежит: Micron Technology Inc

Some embodiments include methods of forming plasma-generating microstructures. Aluminum may be anodized to form an aluminum oxide body having a plurality of openings extending therethrough. Conductive liners may be formed within the openings, and circuitry may be formed to control current flow through the conductive liners. The conductive liners form a plurality of hollow cathodes, and the current flow is configured to generate and maintain plasmas within the hollow cathodes. The plasmas within various hollow cathodes, or sets of hollow cathodes, may be independently controlled. Such independently controlled plasmas may be utilized to create a pattern in a display, or on a substrate. In some embodiments, the plasmas may be utilized for plasma-assisted etching and/or plasma-assisted deposition. Some embodiments include constructions and assemblies containing multiple plasma-generating structures.

Подробнее
05-01-2012 дата публикации

Vapor-phase process apparatus, vapor-phase process method, and substrate

Номер: US20120003142A1
Принадлежит: Sumitomo Electric Industries Ltd

A vapor-phase process apparatus and a vapor-phase process method capable of satisfactorily maintaining quality of processes even when different types of processes are performed are obtained. A vapor-phase process apparatus includes a process chamber, gas supply ports serving as a plurality of gas introduction portions, and a gas supply portion (a gas supply member, a pipe, a flow rate control device, a pipe, and a buffer chamber). The process chamber allows flow of a reaction gas therein. The plurality of gas supply ports are formed in a wall surface (upper wall) of the process chamber along a direction of flow of the reaction gas. The gas supply portion can supply a gas into the process chamber at a different flow rate from each of one gas supply port and another gas supply port different from that one gas supply port among the plurality of gas supply ports.

Подробнее
05-01-2012 дата публикации

Semiconductor Device Manufacturing Apparatus Capable Of Reducing Particle Contamination

Номер: US20120003837A1
Принадлежит: Individual

A plasma processing method of subjecting a substance to plasma processing by using a semiconductor device manufacturing apparatus including a process chamber, a unit for supplying gas to the process chamber, an exhausting unit to reduce pressure in the process chamber, a high frequency power source for plasma generation, a coil for generating a magnetic field, and a mounted electrode for mounting the substance to be processed. The method includes steps of subjecting the substance to a predetermined plasma processing, changing the magnetic field distribution, so as to make a plasma distribution of the process chamber with respect to the surface of the substance to be processed, in a convex form, at a time of igniting the plasma and after completion of the predetermined plasma processing, as compared with a plasma distribution with respect to the surface of the substance to be processed during the predetermined plasma processing.

Подробнее
08-10-2018 дата публикации

СВЧ-плазмотрон

Номер: RU0000183873U1

Полезная модель относится к плазменной технике, в частности к устройствам для генерирования плазмы с использованием внешних электромагнитных полей сверхвысокой частоты и может быть использована в качестве простого и надежного источника чистого безэлектродного СВЧ-разряда атмосферного давления для проведения плазмохимических и тепловых технологических процессов большой продолжительности. К техническому результату относится повышение надежности и долговечности устройства СВЧ-плазмотрона за счет снижения тепловой нагрузки на стенки диэлектрической разрядной камеры путем расположения диэлектрической разрядной камеры в прямоугольном волноводе и выполнения ее в виде двух полуцилидрических частей, что способствует увеличению ее размера и удалению ее от области формирования плазменного разряда, а также снабжение узких стенок прямоугольного волновода двумя соплами для дополнительного ввода струй газа, обеспечивающих охлаждение диэлектрических стенок разрядной камеры. Вышеуказанный технический результат достигается за счет того, что «СВЧ-плазмотрон» содержит источник СВЧ-излучения, полый прямоугольный металлический волновод, в котором распространяется электромагнитная энергия с длиной волны основного типа колебаний Н 10 . С волноводом связаны два отрезка цилиндрического запредельного волновода, расположенные перпендикулярно с двух сторон в центре широких стенок прямоугольного волновода. Устройство содержит также диэлектрическую разрядную камеру, газоформирователь, с двумя соплами, выполненный в торце одной из частей запредельного волновода и обеспечивающий вихревой ввод потока плазмообразующего газа в разрядную камеру. Диэлектрическая разрядная камера размещена в прямоугольном волноводе и выполнена в виде двух частей, расположенных по горизонтальной оси прямоугольного волновода. Наружная поверхность каждой части диэлектрической разрядной камеры ограничена двумя симметрично расположенными от центральной оси разрядной камеры полуцилидрическими поверхностями и прямоугольными ...

Подробнее
12-01-2012 дата публикации

Method and apparatus for removing photoresist

Номер: US20120006486A1
Принадлежит: Lam Research Corp

A method and apparatus remove photoresist from a wafer. A process gas containing sulfur (S), oxygen (O), and hydrogen (H) is provided, and a plasma is generated from the process gas in a first chamber. A radical-rich ion-poor reaction medium is flown from the first chamber to a second chamber where the wafer is placed. The patterned photoresist layer on the wafer is removed using the reaction medium, and then the reaction medium flowing into the second chamber is stopped. Water vapor may be introduced in a solvation zone provided in a passage of the reaction medium flowing down from the plasma such that the water vapor solvates the reaction medium to form solvated clusters of species before the reaction medium reaches the wafer. The photoresist is removed using the solvated reaction medium.

Подробнее
12-01-2012 дата публикации

Ring-shaped component for use in a plasma processing, plasma processing apparatus and outer ring-shaped member

Номер: US20120006488A1
Принадлежит: Tokyo Electron Ltd

A ring-shaped component for use in a plasma processing includes an inner ring-shaped member provided to surround an outer periphery of a substrate to be subjected to the plasma processing and an outer ring-shaped member provided to surround an outer periphery of the inner ring-shaped member. The outer ring-shaped member has a first surface facing a processing space side and a second surface facing an opposite side of the plasma generation side. The second surface has thereon one or more ring-shaped grooves.

Подробнее
12-01-2012 дата публикации

Plasma processing apparatus and plasma processing method

Номер: US20120006489A1
Принадлежит: Panasonic Corp

Substrates are contained in substrate containing holes which penetrate a tray in the thickness direction. A dielectric plate in a chamber is provided with a tray supporting surface which supports the lower surface of the tray and substrate placing sections which protrude upward, and has an electrostatic chuck electrode therein. The substrate supporting section which supports the substrate contained in the substrate containing holes is provided with a plurality of protruding sections formed at intervals in the circumferential direction of the substrate containing holes. The substrates are supported in point-contact mode by means of the protruding sections.

Подробнее
19-01-2012 дата публикации

Integrated anode and activated reactive gas source for use in a magnetron sputtering device

Номер: US20120012459A1
Автор: Georg J. Ockenfuss
Принадлежит: JDS Uniphase Corp

The invention relates to an integrated anode and activated reactive gas source for use in a magnetron sputtering device and a magnetron sputtering device incorporating the same. The integrated anode and activated reactive gas source comprises a vessel having an interior conductive surface, comprising the anode, and an insulated outer body isolated from the chamber walls of the coating chamber. The vessel has a single opening with a circumference smaller that that of the vessel in communication with the coating chamber. Sputtering gas and reactive gas are coupled through an input into the vessel and through the single opening into the coating chamber. A plasma is ignited by the high density of electrons coming from the cathode and returning to the power supply through the anode. A relatively low anode voltage is sufficient to maintain a plasma of activated reactive gas to form stoichiometric dielectric coatings.

Подробнее
09-02-2012 дата публикации

Plasma reactor

Номер: US20120034135A1
Автор: Philip John Risby
Принадлежит: GASPLAS AS

A reaction vessel has a reaction chamber, and two or more plasma nozzles coupled to the reactor chamber. Each plasma nozzle has a microwave plasma generator powered by a magnetron, and a feed tube for directing a flow of material via the plasma generator to a respective inlet to the reaction chamber whereby the plasma generator at least partly ionises the material to form a plasma prior to entry of the at least partly ionised material into the reaction chamber. The plasma-generating region of each nozzle is separated from the reactor chamber at a distance between 0.005 to 1 m.

Подробнее
16-02-2012 дата публикации

Plasma Deposition of Amorphous Semiconductors at Microwave Frequencies

Номер: US20120040518A1

Apparatus and method for plasma deposition of thin film photovoltaic materials at microwave frequencies. The apparatus inhibits deposition on windows or other microwave transmission elements that couple microwave energy to deposition species. The apparatus includes a microwave applicator with conduits passing therethrough that carry deposition species. The applicator transfers microwave energy to the deposition species to transform them to a reactive state conducive to formation of a thin film material. The conduits physically isolate deposition species that would react to form a thin film material at the point of microwave power transfer. The deposition species are separately energized and swept away from the point of power transfer to prevent thin film deposition. The invention allows for the ultrafast formation of silicon-containing amorphous semiconductors that exhibit high mobility, low porosity, little or no Staebler-Wronski degradation, and low defect concentration.

Подробнее
23-02-2012 дата публикации

Adjustable confinement ring assembly

Номер: US20120043021A1
Автор: Peter Cirigliano
Принадлежит: Lam Research Corp

A plasma confinement assembly for a semiconductor processing chamber is provided. The assembly includes a plurality of confinement rings disposed over each other, and each of the plurality of confinement rings is separated by a space. A plunger moveable in a plane substantially orthogonal to the confinement rings. A proportional adjustment support is provided and coupled to the plunger. The proportional adjustment support is configured to move the confinement rings to one or more positions, such that the plunger is settable in positions along the plane. The positions define the space separating confinement rings, and the space is proportionally set between the confinement rings. The proportional adjustment support is defined by a plurality of support legs, and each of the support legs is pivotably interconnected with at least one other support leg.

Подробнее
01-03-2012 дата публикации

Wafer Chucking System for Advanced Plasma Ion Energy Processing Systems

Номер: US20120052599A1
Принадлежит: Advanced Energy Industries Inc

Systems, methods and apparatus for regulating ion energies in a plasma chamber and chucking a substrate to a substrate support are disclosed. An exemplary method includes placing a substrate in a plasma chamber, forming a plasma in the plasma chamber, controllably switching power to the substrate so as to apply a periodic voltage function to the substrate, and modulating, over multiple cycles of the periodic voltage function, the periodic voltage function responsive to a desired distribution of energies of ions at the surface of the substrate so as to effectuate the desired distribution of ion energies on a time-averaged basis.

Подробнее
15-03-2012 дата публикации

Plasma processing apparatus, plasma processing method and storage medium for storing program for executing the method

Номер: US20120061351A1
Принадлежит: Tokyo Electron Ltd

There is provided a plasma processing apparatus including a susceptor 114 , having a substrate mounting portion for mounting thereon a substrate, to which a high frequency power is applied; a focus ring 210 , disposed to surround the substrate mounted on the substrate mounting portion, including an outer ring 214 having a top surface higher than a top surface of the substrate and an inner ring 212 extending inwardly from the outer ring so as to allow at least a part of the inner ring to be positioned below a periphery of the substrate, the outer ring and the inner ring being formed as a single member; a dielectric ring 220 positioned between the focus ring and the susceptor; a dielectric constant varying device 250 for varying a dielectric constant of the dielectric ring.

Подробнее
15-03-2012 дата публикации

Plasma etching apparatus, plasma etching method, and semiconductor device manufacturing method

Номер: US20120064726A1
Принадлежит: Tokyo Electron Ltd

There is provided a plasma etching apparatus provided for performing an etching in a desirable shape. The plasma etching apparatus includes a processing chamber 12 for performing a plasma process on a target substrate W; a gas supply unit 13 for supplying a plasma processing gas into the processing chamber 12; a supporting table positioned within the processing chamber 12 and configured to support the target substrate thereon; a microwave generator 15 for generating a microwave for plasma excitation; a plasma generation unit for generating plasma within the processing chamber 12 by using the generated microwave; a pressure control unit for controlling a pressure within the processing chamber 12; a bias power supply unit for supplying AC bias power to the supporting table 14; and a control unit for controlling the AC bias power by alternately repeating supply and stop of the AC bias power.

Подробнее
22-03-2012 дата публикации

Surface wave plasma cvd apparatus and film forming method

Номер: US20120067281A1
Автор: Masayasu Suzuki
Принадлежит: Shimadzu Corp

A surface wave plasma CVD apparatus includes a waveguide that is connected to a microwave source and formed of a plurality of slot antennae; a dielectric member that introduces microwaves emitted from the plurality of slot antennae into a plasma processing chamber to generate surface wave plasma; a moving device that reciprocatory moves a substrate-like subject of film formation such that the subject of film formation passes a film formation processing region that faces the dielectric member; and a control device that controls the reciprocatory movement of the subject of film formation by the moving device depending on film forming conditions to perform film formation on the subject of film formation.

Подробнее
29-03-2012 дата публикации

Adapter Ring For Silicon Electrode

Номер: US20120073752A1
Автор: Terry Parde
Принадлежит: SunEdison Inc

Methods and systems are provided for retrofitting wafer etching systems. The methods and systems use an adapter ring to retrofit wafer etching systems designed for use with multiple piece electrodes such that single piece electrodes can be used in the etching systems. A portion of the adapter ring is disposed in a receptacle formed in a thermal coupled plate in the wafer etching system. Another portion of the adapter ring is positioned in a channel formed in an upper electrode.

Подробнее
29-03-2012 дата публикации

Electrode plate for plasma etching and plasma etching apparatus

Номер: US20120073753A1
Принадлежит: Tokyo Electron Ltd

An electrode plate for a plasma etching is formed as a disc shape having a predetermined thickness, a plurality of gas holes penetrating a surface of the electrode plate perpendicularly to the surface are provided on different circumferences of a plurality of concentric circles, the electrode plate is divided in a radial direction of the electrode plate into two or more regions, types of gas holes provided in the two or more regions are different from each other by region.

Подробнее
29-03-2012 дата публикации

Electrode and plasma processing apparatus

Номер: US20120073755A1
Автор: Daisuke Hayashi
Принадлежит: Tokyo Electron Ltd

Electric field intensity distribution of a high frequency power for plasma generation can be controlled without generating abnormal electric discharge. There is provided an electrode for a plasma processing apparatus capable of supplying a gas. The electrode may include a base member 105 a made of a dielectric material and having therein a certain space U; a cover 107 for airtightly sealing the space U and isolating the space U from a plasma generation space when the electrode is installed at the plasma processing apparatus; and multiple gas hole tubes 105 e passing through the cover member 107 , the space U and the base member 105 a . Each gas hole tube has a gas hole isolated from the space U.

Подробнее
29-03-2012 дата публикации

Methods for discretized processing and process sequence integration of regions of a substrate

Номер: US20120074096A1
Принадлежит: Individual

The present invention provides methods and systems for discretized, combinatorial processing of regions of a substrate such as for the discovery, implementation, optimization, and qualification of new materials, processes, and process sequence integration schemes used in integrated circuit fabrication. A substrate having an array of differentially processed regions thereon is processed by delivering materials to or modifying regions of the substrate.

Подробнее
29-03-2012 дата публикации

Methods for Controlling Bevel Edge Etching in a Plasma Chamber

Номер: US20120074099A1
Принадлежит: Lam Research Corp

Methods for bevel edge etching are provided. One example method is for etching a film on a bevel edge of a substrate in a plasma etching chamber. The method includes providing the substrate on a substrate support in the plasma etching chamber. The plasma etching chamber has a top edge electrode and a bottom edge electrode disposed to surround the substrate support. Then flowing an etching process gas through a plurality of edge gas feeds disposed along a periphery of the gas delivery plate. The periphery of the gas deliver plate is oriented above the substrate support and the bevel edge of the substrate, and the flowing is further directed to a space between the top edge electrode and bottom edge electrode. And, flowing a tuning gas through a center gas feed of the gas delivery plate.

Подробнее
19-04-2012 дата публикации

Microwave plasma source and plasma processing apparatus

Номер: US20120090782A1
Автор: Taro Ikeda, Yuki Osada
Принадлежит: Tokyo Electron Ltd

There are provided a microwave plasma source and a plasma processing apparatus capable of improving uniformity of a plasma density distribution within a processing chamber by controlling positions of nodes and antinodes of a standing wave of microwave within the processing chamber not to be fixed. The microwave plasma source 2 includes a microwave supply unit 40. The microwave supply unit 40 includes multiple microwave introducing devices 43 each introducing microwave into the processing chamber; and multiple phase controllers 46 for adjusting phases of the microwaves inputted to the microwave introducing devices 43. Here, the phases of the microwaves inputted to the microwave introducing devices 43 are adjusted by fixing an input phase of the microwave inputted to one of two adjacent microwave introducing devices 43 while varying an input phase of the microwave inputted to the other microwave introducing device 43 according to a periodic waveform.

Подробнее
19-04-2012 дата публикации

Antenna unit for generating plasma and substrate processing apparatus including the same

Номер: US20120090785A1
Автор: Yong-Jun Jang
Принадлежит: Jusung Engineering Co Ltd

An antenna unit for generating a plasma includes: a first antenna including a first incoming portion and a plurality of first sub-antennas divided from the first incoming portion; and a second antenna including a second incoming portion and a plurality of second sub-antennas divided from the second incoming portion, the first and second incoming portions constituting a coaxial line.

Подробнее
19-04-2012 дата публикации

Substrate processing apparatus and method of disassembling and assembling the same

Номер: US20120091871A1
Принадлежит: Jusung Engineering Co Ltd

A substrate processing apparatus includes: a chamber including a body having an open portion and a door for blocking the open portion; a substrate supporter connected to the door; and a door operating means including a rotation shaft for straightly moving and rotating the door, the door and the body separated in parallel from each other by straightly moving the door.

Подробнее
26-04-2012 дата публикации

Substrate support with symmetrical feed structure

Номер: US20120097332A1
Принадлежит: Applied Materials Inc

Apparatus for processing a substrate is disclosed herein. In some embodiments, a substrate support may include a substrate support having a support surface for supporting a substrate the substrate support having a central axis; a first electrode disposed within the substrate support to provide RF power to a substrate when disposed on the support surface; an inner conductor coupled to the first electrode about a center of a surface of the first electrode opposing the support surface, wherein the inner conductor is tubular and extends from the first electrode parallel to and about the central axis in a direction away from the support surface of the substrate support; an outer conductor disposed about the inner conductor; and an outer dielectric layer disposed between the inner and outer conductors, the outer dielectric layer electrically isolating the outer conductor from the inner conductor. The outer conductor may be coupled to electrical ground.

Подробнее
26-04-2012 дата публикации

Apparatus for forming a magnetic field and methods of use thereof

Номер: US20120097870A1
Принадлежит: Applied Materials Inc

Apparatus for forming a magnetic field and methods of use thereof are provided herein. In some embodiments, a plurality of coils having substantially similar dimensions disposed about a process chamber in a symmetric pattern centered about a central axis of the process chamber, wherein the plurality of coils are configured to produce a magnetic field having a plurality of magnetic field lines that are substantially planar and substantially parallel. In some embodiments, the plurality of coils comprises eight coils disposed about the process chamber, wherein each of the eight coils is offset by an angle of about 45 degrees from respective adjacent coils of the eight coils.

Подробнее
26-04-2012 дата публикации

Plasma and Induction Heating Power Supply Systems and Related Methods

Номер: US20120101642A1
Принадлежит: Huettinger Elektronik GmbH and Co KG

In some aspects, a power supply system for a plasma application and/or an induction heating system includes at least two controllable power generators of different types. Each controllable power generator includes an associated identifier, and at least one operating unit for controlling at least one of the power generators, the operating unit includes an operating application to import the respective identifiers from the power generators that are connected to the operating application, and based on generator-specific configuration data that are stored for each power generator and the identifiers, the operating application constructs a graphic user interface on a display device of the operating unit.

Подробнее
03-05-2012 дата публикации

Thin-film manufacturing method and apparatus

Номер: US20120107524A1
Принадлежит: Fuji Electric Co Ltd, Kyushu University NUC

A thin-film manufacturing method includes the steps of: generating a plasma from source gas; extracting ions from the plasma; and depositing a thin film on one side or both sides of a substrate to be deposited with the ions. The method is performed in an apparatus including: a plasma chamber generating the plasma; a film deposition chamber accommodating the substrate to be deposited; an ion transfer path for transferring the ions from the plasma chamber to the film deposition chamber; a branch pipe branching from the ion transfer path; and an exhaust system connected to the branch pipe. The thin film is formed while the source gas except the ions is exhausted from the branch pipe.

Подробнее
03-05-2012 дата публикации

Abnormality detection system, abnormality detection method, and recording medium

Номер: US20120109582A1
Принадлежит: Tokyo Electron Ltd

Disclosed is an abnormality detection system that accurately detects abnormalities that arise in a device. The abnormality detection system 100, which detects abnormalities that arise in a plasma processing device 2, is provided with: a plurality of ultrasonic sensors 41, which detects acoustic emissions (AE), which cause abnormalities to arise; a distributor 65, which distributes each output signal from the ultrasonic sensors 41 into a first signal and a second signal; a trigger 52, which samples the first signal at, for example, 10 kHz, and generates a trigger signal when predetermined characteristics are detected; a trigger generation time counter 54, which receives trigger signals and determines the time of trigger generation; a data logger board 55, which creates sampling data from sampling the second signal at, for example, 1 MHz; and a PC 50, which analyzes abnormalities arising in the plasma processing device 2 by means of performing a waveform analysis of data from the sampling data, said data corresponding to a set time period using the time of trigger generation determined by the trigger generation time counter 54 as a benchmark.

Подробнее
10-05-2012 дата публикации

View port device for plasma process and process observation device of plasma apparatus

Номер: US20120111269A1

A view port device for a plasma process and a process observation device of a plasma apparatus are provided. The view port device for a plasma process comprises a first substrate portion, a second substrate portion, and a connecting portion. The first substrate portion has a first through hole. The second substrate portion has a second through hole and a second diffusion space. A cross-sectional area of the second diffusion space is larger than that of the second through hole. The connecting portion is disposed between the first substrate portion and the second substrate portion.

Подробнее
10-05-2012 дата публикации

Cooled pvd shield

Номер: US20120111273A1
Принадлежит: Individual

The present invention generally comprises a top shield for shielding a shadow frame within a PVD chamber. The top shield may remain in a stationary position and at least partially shield the shadow frame to reduce the amount of material that may deposit on the shadow frame during processing. The top shield may be cooled to reduce the amount of fluxuation in temperature of the top shield and shadow frame during processing and/or during down time.

Подробнее
10-05-2012 дата публикации

Structure of substrate supporting table, and plasma processing apparatus

Номер: US20120111502A1
Принадлежит: Mitsubishi Heavy Industries Ltd

Disclosed is the structure of a substrate supporting table wherein corrosion of a bellows is eliminated, generation of dusts from the bellows is suppressed, and the volume and the weight of the sections to be driven are reduced. A plasma processing apparatus is also disclosed. In the substrate supporting table for the plasma processing apparatus ( 10 ), a cylindrical inner tube ( 12 ), the bellows ( 13 ), an outer tube ( 14 ) and a cover member ( 15 ) are sequentially disposed concentrically from the inner side, and a drive member ( 21 ) to be driven by means of a drive mechanism ( 24 ) is attached to the rear surface of the placing table ( 16 ) through an opening ( 11 b) and the inside of the inner tube ( 12 ).

Подробнее
24-05-2012 дата публикации

Method of producing a plasma-resistant thermal oxide coating

Номер: US20120125488A1
Принадлежит: Applied Materials Inc

A method of creating a plasma-resistant thermal oxide coating on a surface of an article, where the article is comprised of a metal or metal alloy which is typically selected from the group consisting of yttrium, neodymium, samarium, terbium, dysprosium, erbium, ytterbium, scandium, hafnium, niobium or combinations thereof. The oxide coating is formed using a time-temperature profile which includes an initial rapid heating rage, followed by a gradual decrease in heating rate, to produce an oxide coating structure which is columnar in nature. The grain size of the crystals which make up the oxide coating is larger at the surface of the oxide coating than at the interface between the oxide coating and the metal or metal alloy substrate, and the oxide coating is in compression at the interface between the oxide coating and the metal or metal alloy substrate.

Подробнее
24-05-2012 дата публикации

Process for etching silicon with selectivity to silicon-germanium

Номер: US20120129354A1
Автор: Vinh Luong
Принадлежит: Tokyo Electron Ltd

A method for performing a selective etching process is described. The method includes preparing a substrate having a silicon layer (Si) and a silicon-germanium (SiGe x ) layer, and selectively etching the silicon layer relative to the silicon-germanium layer using a dry plasma etching process.

Подробнее
31-05-2012 дата публикации

Plasma treatment apparatus

Номер: US20120132368A1
Принадлежит: HITACHI LTD

To improve durability of an electric discharge part of a dielectric barrier discharge system, a plasma treatment apparatus is configured so that a plasma source of a corona discharge system is installed in the vicinity of a plasma source of the dielectric barrier discharge system, a plasma generated by corona discharge is used as an auxiliary plasma, and a discharge sustaining voltage of a main plasma generated by the dielectric barrier discharge is reduced.

Подробнее
31-05-2012 дата публикации

Electron beam generating apparatus

Номер: US20120133281A1
Принадлежит: Academy Industry Foundation of POSTECH

An apparatus for generating an electron beam is disclosed to reduce emittance of an electron beam. The apparatus includes: a housing including a rear portion where an electron beam is generated, a front portion having an electron beam discharge hole for discharging the electron beam to the exterior, and a side portion connecting the rear portion and the front portion, the side portion having a first hole and an opposite side portion, facing the first hole, having a second hole in order to reduce asymmetry of an electric field caused by the first hole; and a waveguide installed on the side portion to supply an electromagnetic wave to the interior of the housing through the first hole, wherein the electron beam is generated by laser incident to the interior of the housing and accelerated by the electromagnetic wave supplied to the interior of the housing.

Подробнее
14-06-2012 дата публикации

Surface wave plasma cvd apparatus and layer formation method

Номер: US20120148763A1
Автор: Masayasu Suzuki
Принадлежит: Shimadzu Corp

A surface wave plasma CVD apparatus, includes: a waveguide ( 3 ) that is connected to a microwave source ( 2 ), and in which a plurality of slot antennas (S) are formed thereof; a dielectric plate ( 4 ) for conducting microwaves emitted from the plurality of slot antennas (S) into a plasma processing chamber ( 1 ) so that a surface wave plasma is produced; an insulating shield member (lb) that is arranged so as to surround a layer formation processing region (R) in which the surface wave plasma is produced; and a gas ejection portion ( 52 ) that ejects process material gas into the layer formation processing region (R).

Подробнее
21-06-2012 дата публикации

Microwave antenna for generating plasma

Номер: US20120153825A1
Принадлежит: Korea Basic Science Institute KBSI

The present invention relates to the new structure antenna to create the uniform large area plasma using microwave. The microwave antenna to create the plasma of present invention comprises the waveguide, main body of antenna and the coaxial structure connecting part which connects said waveguide and said main body of antenna electrically, the main body of antenna comprises the conductive block in donut shape forming multiple slots, and notches are formed between the multiple slots of the conductive block and multiple permanent magnets are inserted into the notches. The multiple slots can be formed by passing through the inside and outside of the conductive block and the multiple slots can be formed with repetitive square wave pattern.

Подробнее
28-06-2012 дата публикации

Plasma processing apparatus and substrate processing method

Номер: US20120160809A1
Принадлежит: Tokyo Electron Ltd

A microwave supply unit 20 of a plasma processing apparatus 11 includes a stub member 51 configured to be extensible from the outer conductor 33 toward the inner conductor 32 . The stub member 51 serves as a distance varying device for varying a distance in the radial direction between a part of the outer surface 36 of the inner conductor 32 and a facing member facing the part of the outer surface of the inner conductor 32 in the radial direction, i.e., the cooling plate protrusion 47 . The stub member 51 includes a rod-shaped member 52 supported at the outer conductor 33 and configured to be extended in the radial direction; and a screw 53 as a moving distance adjusting member for adjusting a moving distance of the rod-shaped member 52 in the radial direction.

Подробнее
28-06-2012 дата публикации

Variable-Density Plasma Processing of Semiconductor Substrates

Номер: US20120164834A1
Принадлежит: Novellus Systems Inc

Methods and hardware for generating variable-density plasmas are described. For example, in one embodiment, a process station comprises a showerhead including a showerhead electrode and a substrate holder including a mesa configured to support a substrate, wherein the substrate holder is disposed beneath the showerhead. The substrate holder includes an inner electrode disposed in an inner region of the substrate holder and an outer electrode being disposed in an outer region of the substrate holder. The process station further comprises a plasma generator configured to generate a plasma in a plasma region disposed between the showerhead and the substrate holder, and a controller configured to control the plasma generator, the inner electrode, the outer electrode, and the showerhead electrode to effect a greater plasma density in an outer portion of the plasma region than in an inner portion of the plasma region.

Подробнее
28-06-2012 дата публикации

Substrate processing method

Номер: US20120164839A1
Автор: Eiichi Nishimura
Принадлежит: Tokyo Electron Ltd

There is provided a substrate processing method capable of increasing an etching rate of a copper member without using a halogen gas. A Cu layer 40 having a smoothened surface 50 is obtained, and then, a processing gas produced by adding a methane gas to a hydrogen gas is introduced into an inner space of a processing chamber 15 . Plasma is generated from this processing gas. In the inner space of the processing chamber 15 , there exist oxygen radicals 52 generated when an oxide layer 42 is etched, and carbon radicals 53 generated from methane. The oxygen radicals 52 and the carbon radicals 53 are compounded to generate an organic acid, and the organic acid makes a reaction with copper atoms of the Cu layer 40 . As a result, a complex of the organic acid having the copper atoms is generated, and the generated organic acid complex is vaporized.

Подробнее
12-07-2012 дата публикации

Plasma cvd apparatus

Номер: US20120174864A1
Принадлежит: Kobe Steel Ltd

The disclosed plasma CVD apparatus ( 1 ) is provided with a vacuum chamber ( 3 ); a pair of deposition rollers ( 2, 2 ) disposed within the vacuum chamber ( 3 ) that are connected to both poles of an AC power supply and around which a substrate (W) is wound; a gas-supplying device ( 5 ) that supplies process gas containing a source gas to a deposition zone (D) which is a portion of or all of the region that is on one side of a line linking the centers of rotation of the pair of deposition rollers ( 2, 2 ); and a magnetic-field-generating device ( 7 ) that, by means of the AC power supply being applied to each of the deposition rollers ( 2, 2 ), forms a magnetic field that causes the source gas in a predetermined region to become plasma. The magnetic-field-generating device ( 7 ) causes the source gas in the region adjacent to the surface of the portion of the pair of deposition rollers ( 2, 2 ) located within the deposition zone (D) to become plasma, forming a plasma region (P). The substrate (W) is wound around the pair of deposition rollers ( 2, 2 ) so as to pass through the plasma region (P).

Подробнее
19-07-2012 дата публикации

Semiconductor processing system and methods using capacitively coupled plasma

Номер: US20120180954A1
Принадлежит: Applied Materials Inc

Substrate processing systems are described that have a capacitively coupled plasma (CCP) unit positioned inside a process chamber. The CCP unit may include a plasma excitation region formed between a first electrode and a second electrode. The first electrode may include a first plurality of openings to permit a first gas to enter the plasma excitation region, and the second electrode may include a second plurality of openings to permit an activated gas to exit the plasma excitation region. The system may further include a gas inlet for supplying the first gas to the first electrode of the CCP unit, and a pedestal that is operable to support a substrate. The pedestal is positioned below a gas reaction region into which the activated gas travels from the CCP unit.

Подробнее
19-07-2012 дата публикации

Semiconductor device manufacturing method

Номер: US20120184107A1
Принадлежит: Tokyo Electron Ltd

In a semiconductor device manufacturing method, the formation of a sacrificial oxide film and removal thereof by wet etching and/or the formation of a silicon dioxide film and removal thereof by wet etching are performed. In the process for manufacturing a semiconductor device, the formation of the sacrificial oxide film and/or the silicon dioxide film is performed within a processing chamber of a plasma processing apparatus using a plasma in which O( 1 D 2 ) radicals produced using a processing gas that contains oxygen are dominant.

Подробнее
19-07-2012 дата публикации

Tool performance by linking spectroscopic information with tool operational parameters and material measurement information

Номер: US20120185813A1
Принадлежит: Tokyo Electron Ltd

System(s) and method(s) are provided for adjustment and analysis of performance of a tool through integration of tool operational data and spectroscopic data related to the tool. Such integration results in consolidated data that enable, in part, learning at least one relationship amongst selected portions of the consolidated data. Learning is performed autonomously without human intervention. Adjustment of performance of the tool relies at least in part on a learned relationship and includes generation of process recipe parameter(s) that can adjust a manufacturing process in order to produce a satisfactory tool performance in response to implementation of the manufacturing process. A process recipe parameter can be generated by solving an inverse problem based on the learned relationship. Analysis of performance of the tool can include assessment of synthetic performance scenarios, identification of spectroscopic condition(s) that affect performance, and extraction of endpoints based at least on time dependence spectroscopic data.

Подробнее
26-07-2012 дата публикации

Plasma processing apparatus

Номер: US20120186747A1
Принадлежит: Hitachi High Technologies Corp

A plasma processing apparatus is provided with a processing chamber which is arranged inside a vacuum container and plasma is formed inside, a circular shape plate member made of a dielectric material arranged above the processing chamber through which an electric field is transmitted, and a cavity part having a cylindrical shape arranged above the plate member and the electric field is introduced inside, in which the cavity part is provided with a first cylindrical cavity part having a cylindrical shape cavity with a large diameter and having the plate member as the bottom face, a second cylindrical cavity part arranged above to be connected to the first cylindrical cavity part and having a cylindrical shape cavity with a small diameter, and a step portion for connecting these between the first and the second cylindrical cavity parts.

Подробнее
26-07-2012 дата публикации

Plasma generation system and plasma generation method

Номер: US20120187086A1
Принадлежит: Toyo Advanced Technologies Co Ltd

A plasma generation system and related method for generating plasma in a cavity of a narrow tube, the system including: a first electrode including a conductive member covered with an insulator or dielectric, the first electrode being inserted into the cavity of the narrow tube to generate the plasma; a power supply to apply an alternating voltage or pulse voltage to the first electrode; and a second electrode located outside the narrow tube and connected to the power supply, the power supply applying the alternating voltage or pulse voltage between the first electrode and the second electrode, wherein the conductive member is made of a wire, a portion of the narrow tube is provided between the first electrode and the second electrode, and the second electrode is arranged and shaped so that a discharge is unevenly performed in a circumferential direction of the first electrode.

Подробнее
26-07-2012 дата публикации

Electrostatic remote plasma source

Номер: US20120187844A1
Принадлежит: Advanced Energy Industries Inc

This disclosure describes systems, methods, and apparatus for capacitively coupling energy into a plasma to ignite and sustain the plasma within a remote plasma source. The power is provided by a first electrode that at least partially surrounds or is surrounded by a second electrode. The second electrode can be grounded or floating. First and second dielectric components can be arranged to separate one or both of the electrodes from the plasma and thereby DC isolate the plasma from one or both of the electrodes.

Подробнее
26-07-2012 дата публикации

Plasma processing apparatus and plasma processing method

Номер: US20120190207A1
Принадлежит: Tokyo Electron Ltd

A plasma processing apparatus performs plasma process by using a hydrogen radical generated by plasma-exciting a process gas containing hydrogen on a substrate to be processed. A high-frequency antenna includes an antenna device that is configured to resonate at a half-wavelength of high-frequency power applied from the high-frequency power source by opening two ends of the antenna device and grounding a center point of the antenna device. A barrier wall member for separating a plasma generating chamber and a plasma processing chamber includes a plurality of plate-shaped members having a plurality of openings through which the hydrogen radical passes, formed of an insulating material through which UV light does not pass, and overlapping each other at a predetermined interval, wherein the openings of one plate-shaped member are provided not to overlap the openings of another plate-shaped member.

Подробнее
02-08-2012 дата публикации

Substrate cooling device, sputtering apparatus and method for manufacturing electronic device

Номер: US20120193216A1
Принадлежит: Canon Anelva Corp

A substrate cooling device includes: a substrate holding stage including a recess defining a space between a substrate mounting unit and a substrate mounted on the substrate mounting unit; a holding member that exerts a pressing force against the substrate holding stage so as to fix the substrate to the substrate holding stage; a refrigerator connected to the substrate holding stage; a coolant gas inlet path including a coolant gas inlet opening that is provided at the substrate holding stage and opens to a recessed face of the recess, the coolant gas inlet path connecting a space in the recess via the coolant gas inlet opening to a coolant gas supply; and a coolant gas outlet path including a coolant gas outlet opening that is provided at the substrate holding stage independently of the coolant gas inlet opening and opens to the recessed face of the recess.

Подробнее
02-08-2012 дата публикации

Method for determining process-specific data of a vacuum deposition process

Номер: US20120193219A1
Автор: Volker Linss
Принадлежит: VON ARDENNE ANLAGENTECHNIK GMBH

A method for determining process-specific data of a vacuum deposition process, in which a substrate is coated in a vacuum chamber by a material detached from a target connected to a magnetron, an optical emission spectrum being recorded and process-significant data of the vacuum deposition process being determined therefrom for further processing in measurement or regulating processes, is optimized to minimize errors in the determination of process-significant data. At least three intensities of spectral lines of at least two process materials are determined from the optical emission spectrum. From these, single and multiple intensities are mathematically correlated with and to one another and a process-significant datum, which is used in subsequent measurement or regulating processes, is determined from the relation results by a further mathematical relation.

Подробнее
02-08-2012 дата публикации

Gas distribution plate with discrete protective elements

Номер: US20120193456A1
Принадлежит: Applied Materials Inc

Embodiments of the present invention provide a gas distribution plate assembly having protective elements for plasma processing. The gas distribution plate assembly includes a base plate having a front side and a backside, and a plurality of protective elements in direct contact with the base plate. The protective elements cover the front side of the base plate to protect the base plate from a plasma processing environment during use.

Подробнее
02-08-2012 дата публикации

Semiconductor device and method for manufacturing same

Номер: US20120193633A1
Принадлежит: Sharp Corp

A method for fabricating a semiconductor device according to the present invention includes the steps of: (a) providing a substrate ( 11 a ) in a chamber ( 26 ); (b) supplying a microwave into the chamber ( 26 ) through a dielectric plate ( 24 ), of which one surface that faces the chamber is made of alumina, thereby depositing a microcrystalline silicon film ( 14 ) with an aluminum concentration of 1.0×10 16 atoms/cm 3 or less on the substrate ( 11 a ) by high-density plasma CVD process; and (c) making a thin-film transistor that uses the microcrystalline silicon film as its active layer. As a result, a semiconductor device including a TFT that uses a microcrystalline silicon film with a mobility of more than 0.5 cm 2 /Vs as its active layer is obtained.

Подробнее
16-08-2012 дата публикации

Semiconductor machine and cleaning process thereof

Номер: US20120205045A1
Принадлежит: United Microelectronics Corp

A semiconductor machine and a cleaning process are provided. The semiconductor machine includes a chamber and a cleaning module. The cleaning process includes the following steps. Firstly, the semiconductor machine is used to perform a semiconductor manufacturing process, wherein a titanium-based material is etched in the semiconductor manufacturing process. Then, a cleaning task is activated to clean the semiconductor machine by using a cleaning agent including a gas mixture of a fluoride compound and oxygen.

Подробнее
16-08-2012 дата публикации

Measuring and controlling wafer potential in pulsed rf bias processing

Номер: US20120206127A1
Принадлежит: Individual

Apparatus and methods are provided for monitoring a pulsed RF bias signal applied to a chuck in a processing chamber. One method includes operations for detecting voltage values of individual pulses of the pulsed RF bias voltage, and for determining the time for sampling the value of each individual detected pulse. At the sampling time for each pulse, a particular voltage value of the respective individual detected pulse is sampled and the particular voltage value is held. Each particular voltage value represents a characteristic peak-to-peak voltage value of each individual detected pulse. A feedback signal representing the characteristic peak-to-peak voltage value for a voltage envelope of one of the individual detected pulses is generated, and the voltage of the pulsed RF bias voltage signal applied to the chuck is adjusted according to a difference between the feedback signal and a desired voltage value of the pulsed RF bias voltage signal.

Подробнее
16-08-2012 дата публикации

Etch processing chamber

Номер: US20120208300A1
Принадлежит: Applied Materials Inc

A substrate etching method and apparatus are disclosed. In one embodiment, a method for etching is provided that includes, in a plasma processing chamber, etching a feature in a silicon layer using an etch recipe that includes cyclical etching and deposition substeps until an end point is reached, wherein an aspect ratio of the feature increases with a number of cyclical etching and deposition substeps performed over time until the end point is reached; and adjusting a recipe variable of the etch recipe in response to the current aspect ratio of the feature during etching to manage thickness of sidewall polymers when the feature becomes deeper to avoid closing the feature and preventing subsequent etching.

Подробнее
23-08-2012 дата публикации

Uniformity tuning capable esc grounding kit for rf pvd chamber

Номер: US20120211354A1
Принадлежит: Applied Materials Inc

Embodiments of the invention generally relate to a grounding kit for a semiconductor processing chamber, and a semiconductor processing chamber having a grounding kit. More specifically, embodiments described herein relate to a grounding kit which creates an asymmetric grounding path selected to significantly reduce the asymmetries caused by an off center RF power delivery.

Подробнее
06-09-2012 дата публикации

Plasma system and method of producing a functional coating

Номер: US20120222617A1
Принадлежит: Individual

A plasma system has at least one inductively coupled high-frequency plasma jet source having a burner body delimiting a plasma generating space, having an outlet orifice for the plasma jet, and a chamber communicating with the plasma jet source through the outlet orifice, having a substrate situated in the chamber, where it is exposed to the plasma jet. The substrate is situated on a substrate electrode to which an electric voltage may be applied. In addition, a method of producing a functional coating on the substrate using such a plasma system is also described. In a preferred embodiment, during operation of the plasma system, both the plasma jet and the electric voltage on the substrate electrode are pulsed and/or a pressure gradient is maintained between the interior of the plasma jet source and the interior of the chamber.

Подробнее
13-09-2012 дата публикации

Method and Apparatus for Treating Containers

Номер: US20120231182A1
Принадлежит: KAIATECH Inc

An apparatus for treating the interior of containers includes a chamber for holding a container and provides precursor materials via an annulus formed by coaxially arranged electrodes at which plasma is created upon application of voltage and the container is treated.

Подробнее
13-09-2012 дата публикации

Plasma generating apparatus and plasma etching method using the same

Номер: US20120231631A1
Автор: Hongseub KIM
Принадлежит: JEHARA CORPARATION

A plasma generating apparatus and a plasma etching method are provided. The apparatus includes a chamber, a barrier, a susceptor, and a Radio Frequency (RF) power. The chamber forms a reaction space isolated from the external. The barrier divides the chamber into an upper chamber and a lower chamber. The barrier has a plurality of through-holes through formed to communicate the upper chamber and the lower chamber. The susceptor is installed in the lower chamber. The RF power supplies a bias power to the susceptor.

Подробнее
13-09-2012 дата публикации

Sensor for measuring plasma parameters

Номер: US20120232817A1
Принадлежит: Individual

A method of measuring ion current between a plasma and an electrode in communication with the plasma is disclosed. A time-varying voltage at the electrode and a time- varying current through the electrode are measured. The method comprise recording, for each of a plurality of voltage values, v′, a plurality, n, of current values I(v′); and obtaining from the current and voltage values a value of the ion current. The electrode is insulated from the plasma by an insulating layer, so that the current values lack a DC component. The method includes performing a mathematical transform effective to: express the current and voltage values as a relationship between the real component of current through the electrode and the voltage, thereby eliminating a capacitive contribution to the current through the electrode; isolate from the real component of current through the electrode an isolated contribution attributable to an ion current and a resistive term, the contribution being free of any electron current contribution; and determine from the isolated contribution a value of ion current.

Подробнее
20-09-2012 дата публикации

Graphene synthesis chamber and method of synthesizing graphene by using the same

Номер: US20120234240A1
Автор: Dong-kwan Won, Won-Sik Nam
Принадлежит: NPS CORP, Samsung Techwin Co Ltd

A graphene synthesis chamber includes: a chamber case in which a substrate including a metal thin film is placed; a gas supply unit which supplies at least one gas comprising a carbon gas into an inner space of the chamber case; a main heating unit which emits at least one light to the inner space to heat the substrate; and at least one auxiliary heating unit which absorbs the at least one light and emits radiant heat toward the substrate.

Подробнее
20-09-2012 дата публикации

Plasma etching apparatus and plasma etching method

Номер: US20120238040A1
Принадлежит: Tokyo Electron Ltd

Disclosed is a technology that can obtain high in-plane uniformity of etching while etching a substrate using plasma. A proper temperature of a focus ring capable of performing etching having high in-plane uniformity is identified in advance for each of the multilayers formed on a wafer, the temperature is reflected to a processing recipe as a set temperature, and a heating mechanism and a cooling mechanism are controlled such that the temperature of the focus ring is within an appropriate temperature range including the set temperature thereof for each of the layers to be successively etched. Heat of the focus ring is radiated using a laser and is discharged to a supporting table without using a heater, to independently separate the heating mechanism and the cooling mechanism from each other.

Подробнее
20-09-2012 дата публикации

Methods for etch of sin films

Номер: US20120238102A1
Принадлежит: Applied Materials Inc

A method of selectively etching silicon nitride from a substrate comprising a silicon nitride layer and a silicon oxide layer includes flowing a fluorine-containing gas into a plasma generation region of a substrate processing chamber and applying energy to the fluorine-containing gas to generate a plasma in the plasma generation region. The plasma comprises fluorine radicals and fluorine ions. The method also includes filtering the plasma to provide a reactive gas having a higher concentration of fluorine radicals than fluorine ions and flowing the reactive gas into a gas reaction region of the substrate processing chamber. The method also includes exposing the substrate to the reactive gas in the gas reaction region of the substrate processing chamber. The reactive gas etches the silicon nitride layer at a higher etch rate than the reactive gas etches the silicon oxide layer.

Подробнее
27-09-2012 дата публикации

Plasma processing apparatus

Номер: US20120241092A1
Принадлежит: Tokyo Electron Ltd

In the plasma processing apparatus 10, a processing space S is formed between a susceptor 12 and an upper electrode 13 facing the susceptor 12. The plasma processing apparatus 10 includes a magnetic field generating unit provided at a side of the upper electrode 13 opposite to the processing space S. The magnetic field generating unit includes a magnetic force line generating unit 27 having a pair of annular magnet rows 27 a and 27 b. The annular magnet rows 27 a and 27 b are provided at the side of the upper electrode 13 opposite to the processing space S and arranged concentrically when viewed from the top. In the magnetic force line generating unit 27, an angle θ1 formed by axial lines of magnets of the annular magnet rows 27 a and 27 b is set to be in a range of about 0°<θ1≦180°.

Подробнее
04-10-2012 дата публикации

Substrate processing apparatus

Номер: US20120247671A1
Автор: Eiichi Sugawara
Принадлежит: Tokyo Electron Ltd

Provided is a substrate processing apparatus, including: transportation chamber maintained in an atmospheric environment where a substrate is transported; a vacuum processing chamber connected with the transportation chamber through a load lock chamber; a substrate placing table installed in the vacuum processing chamber and having a body part and a surface part that is attachable to/detachable from the body part; a storage unit installed in the load lock chamber or the transportation chamber and configured to receive the surface part; and a transportation mechanism configured to transport the substrate from the transportation chamber to the vacuum processing chamber through the load lock chamber and transport the surface part between the storage unit and the body part of the vacuum processing chamber.

Подробнее
04-10-2012 дата публикации

Ceiling electrode plate and substrate processing apparatus

Номер: US20120247672A1
Автор: Yoshiyuki Kobayashi
Принадлежит: Tokyo Electron Ltd

In a plasma processing apparatus, a ceiling electrode plate provided to face a substrate holding stage via a process space contacts and is supported by an electrode support by interposing a cooling plate, and a heat-transfer sheet is provided in a contact surface between the ceiling electrode plate and the cooling plate. The heat-transfer sheet has thermal conductivity of 0.5 to 2.0 W/m·K. The heat-transfer sheet is provided of a heat-resistant adhesive agent or a rubber including silicon, or the heat-transfer sheet is formed of a ceramic filler including oxide, nitride, or carbide. The ceramic filler of 25 to 60 volume % is contained in the heat-resistant adhesive agent or the rubber. A thickness of the heat-transfer sheet is in a range between 30 and 80 μm, and the heat-transfer sheet is not provided in a predetermined area around gas holes of the ceiling electrode plate.

Подробнее
04-10-2012 дата публикации

Plasma processing apparatus and microwave introduction device

Номер: US20120247676A1
Принадлежит: Tokyo Electron Ltd

A plasma processing apparatus includes a microwave introduction device which introduces a microwave into a process chamber. The microwave introduction device includes a plurality of microwave transmitting plates which is fitted into a plurality of openings of a ceiling. The microwave transmitting plates are arranged on one virtual plane parallel to a mounting surface of a mounting table, with the microwave transmitting plates fitted into the respective openings. The microwave transmitting plates includes first to third microwave transmitting plates. The first to third microwave transmitting plates are arranged in such a manner that a distance between the center point of the first microwave transmitting window and the center point of the second microwave transmitting window becomes equal or approximately equal to a distance between the center point of the first microwave transmitting window and the center point of the third microwave transmitting window.

Подробнее
04-10-2012 дата публикации

Ion energy analyzer and methods of manufacturing the same

Номер: US20120248311A1
Принадлежит: Tokyo Electron Ltd

A process by which an ion energy analyzer is manufactured includes processing a first substrate to form an entrance grid having a first channel and a first plurality of openings extending therethrough. A second substrate is processed to form a selection grid having a second channel therein and a second plurality of openings extending therethrough. A third substrate is processed to form an ion collector having a third channel therein. The entrance grid is operably coupled to, and electrically isolated from, the selection grid, which is, in turn, operably coupled to, and electrically isolated from, the ion collector.

Подробнее
04-10-2012 дата публикации

Methods of electrical signaling in an ion energy analyzer

Номер: US20120248322A1
Принадлежит: Tokyo Electron Ltd

A method of generating a signal representing with an ion energy analyzer for use in determining an ion energy distribution of a plasma. The ion energy analyzer, used for determining an ion energy distribution of a plasma, includes a first grid and a second grid that is spaced away from and electrically isolated from the first grid. The first grid forms a first surface of the ion energy analyzer and is positioned to be exposed to the plasma. The first grid includes a first plurality of openings, which are dimensioned to be less than a Debye length for the plasma. A voltage source and an ion current meter are operably coupled to the second grid, the latter of which is configured to measure an ion flux onto the ion collector and to transmit a signal that represents the measured ion flux. The method includes selectively and variably biasing the second grid relative to the first grid.

Подробнее
04-10-2012 дата публикации

Ion implantation system and method

Номер: US20120252195A1
Принадлежит: Advanced Technology Materials Inc

An ion implantation system and method, providing cooling of dopant gas in the dopant gas feed line, to combat heating and decomposition of the dopant gas by arc chamber heat generation, e.g., using boron source materials such as B2F4 or other alternatives to BF3. Various arc chamber thermal management arrangements are described, as well as modification of plasma properties, specific flow arrangements, cleaning processes, power management, eqillibrium shifting, optimization of extraction optics, detection of deposits in flow passages, and source life optimization, to achieve efficient operation of the ion implantation system.

Подробнее
04-10-2012 дата публикации

Substrate processing apparatus, method for manufacturing semiconductor device, method for processing substrates

Номер: US20120252220A1
Принадлежит: HITACHI KOKUSAI ELECTRIC INC

A substrate supporting member provided in a processing chamber for processing the substrate and configured to support the substrate, has on its upper surface, a protruding area that supports an edge side of the substrate from below; a recessed area provided inside of the protruding area so as not to be brought into contact with the substrate supported by the protruding area; and an auxiliary protruding area formed lower than the protruding area and provided in the recessed area, and has a flow passage that is communicated with inside of the recessed area, for escaping gas between the substrate and the substrate supporting member from the recessed area side.

Подробнее
11-10-2012 дата публикации

Multi-Frequency Hollow Cathode System for Substrate Plasma Processing

Номер: US20120255678A1
Принадлежит: Lam Research Corp

A hollow cathode system is provided for plasma generation in substrate plasma processing. The system includes a plurality of electrically conductive plates stacked in a layered manner. Dielectric sheets are disposed between each adjacently positioned pair of the plurality of electrically conductive plates. A number of holes are each formed to extend through the plurality of electrically conductive plates and dielectric sheets. The system also includes at least two independently controllable radiofrequency (RF) power sources electrically connected to one or more of the plurality of electrically conductive plates. The RF power sources are independently controllable with regard to frequency and amplitude.

Подробнее
11-10-2012 дата публикации

E-Beam Enhanced Decoupled Source for Semiconductor Processing

Номер: US20120258601A1
Принадлежит: Lam Research Corp

A semiconductor substrate processing system includes a processing chamber and a substrate support defined to support a substrate in the processing chamber. The system also includes a plasma chamber defined separate from the processing chamber. The plasma chamber is defined to generate a plasma. The system also includes a plurality of fluid transmission pathways fluidly connecting the plasma chamber to the processing chamber. The plurality of fluid transmission pathways are defined to supply reactive constituents of the plasma from the plasma chamber to the processing chamber. The system further includes an electrode disposed within the processing chamber separate from the substrate support. The system also includes a power supply electrically connected to the electrode. The power supply is defined to supply electrical power to the electrode so as to liberate electrons from the electrode into the processing chamber.

Подробнее
18-10-2012 дата публикации

Encapsulation of Electrodes in Solid Media for use in conjunction with Fluid High Voltage Isolation

Номер: US20120261587A1
Принадлежит: FEI Co

An inductively-coupled plasma source for a focused charged particle beam system includes a conductive shield that provides improved electrical isolation and reduced capacitive RF coupling and a dielectric fluid that insulates and cools the plasma chamber. The conductive shield may be enclosed in a solid dielectric media. The dielectric fluid may be circulated by a pump or not circulated by a pump. A heat tube can be used to cool the dielectric fluid.

Подробнее
01-11-2012 дата публикации

Plasma Processing Apparatus

Номер: US20120273136A1
Принадлежит: Individual

A plasma processing apparatus includes a processing chamber, a sample stage, a radio-frequency power supply which enables generation of plasma in the processing chamber, and at least one induction coil. The induction coil is formed by connecting a plurality of identical coil elements so that a same radio-frequency voltage is applied to each of the plurality of identical coil elements, and each input terminals of the identical coil elements is displaced at intervals of an angle calculated by dividing 360° by the number of identical coil elements. Continuous conductor portions of the identical coil elements are formed on different adjacent surfaces of the annular ring and constituted so as to be displaced from one another for a predetermined angle at a time so as to extend along a circumferential direction of the different adjacent surfaces of the annular ring.

Подробнее
01-11-2012 дата публикации

Hardmask materials

Номер: US20120276752A1
Принадлежит: Individual

Hardmask films having high hardness and low stress are provided. In some embodiments a film has a stress of between about −600 MPa and 600 MPa and hardness of at least about 12 GPa. In some embodiments, a hardmask film is prepared by depositing multiple sub-layers of doped or undoped silicon carbide using multiple densifying plasma post-treatments in a PECVD process chamber. In some embodiments, a hardmask film includes a high-hardness boron-containing film selected from the group consisting of Si x B y C z , Si x B y N z , Si x B y C z N w , B x C y , and B x N y . In some embodiments, a hardmask film includes a germanium-rich GeN x material comprising at least about 60 atomic % of germanium. These hardmasks can be used in a number of back-end and front-end processing schemes in integrated circuit fabrication.

Подробнее
08-11-2012 дата публикации

Plasma Processing Chamber Having Electrodes for Cleaning Chamber

Номер: US20120279659A1
Автор: Rajinder Dhindsa
Принадлежит: Lam Research Corp

Plasma processing chamber having a bottom electrode assembly is disclosed. The assembly has an inner bottom electrode for supporting a substrate and an outer bottom electrode disposed outside of the inner bottom electrode. The outer bottom electrode defines a region for chamber cleaning, and the outer bottom electrode includes a conductive ring and an inductive coil placed under the conductive ring. Further included is a dielectric material disposed between the inner bottom electrode and the outer bottom electrode, and the dielectric material separates the inner bottom electrode from the outer bottom electrode. A switch is provided for connecting radio frequency (RF) power to either the inner bottom electrode or the outer bottom electrode. The chamber also includes a top electrode assembly with a top electrode. The top electrode is disposed above both the inner and outer bottom electrodes.

Подробнее
08-11-2012 дата публикации

Electrostatic chuck apparatus

Номер: US20120281334A1

Disclosed is an electrostatic chuck apparatus which is configured of: an electrostatic chuck section; an annular focus ring section provided to surround the electrostatic chuck section; and a cooling base section which cools the electrostatic chuck section and the focus ring section. The focus ring section is provided with an annular focus ring, an annular heat conducting sheet, an annular ceramic ring, a nonmagnetic heater, and an electrode section that supplies power to the heater.

Подробнее
22-11-2012 дата публикации

Method and system for monitoring an etch process

Номер: US20120291952A1
Принадлежит: Individual

A method and apparatus for monitoring an etch process. The etch process may be monitored using measurement information (e.g., critical dimensions (CD), layer thickness, and the like) provided ex-situ with respect to the etch process in combination with in-situ monitoring (e.g., spectroscopy, interferometry, scatterometry, reflectometry, and the like) performed during the etch process. The ex-situ measurement information in combination with the in-situ monitoring may be used to monitor for example, an endpoint of an etch process, an etch depth profile of a feature formed on a substrate, fault detection of an integrated circuit manufacturing process, and the like.

Подробнее
29-11-2012 дата публикации

Apparatus and method for combinatorial gas distribution through a multi-zoned showerhead

Номер: US20120301616A1
Принадлежит: Intermolecular Inc

A multi-zone, combinatorial, single wafer showerhead is used to concurrently develop hardware, materials, unit processes, and unit process sequences. The multi-zone, combinatorial, single wafer showerhead utilizes showerhead pucks to perform process sequences on isolated regions of a single substrate. The showerhead pucks are designed so that they are easily interchangeable to allow the characterization of the interaction between hardware characteristics, process parameters, and their influence on the result of the process sequence.

Подробнее
06-12-2012 дата публикации

Gas distribution system for ceramic showerhead of plasma etch reactor

Номер: US20120305190A1
Принадлежит: Lam Research Corp

A gas delivery system for a ceramic showerhead includes gas connection blocks and a gas ring, the gas connection blocks mounted on the gas ring such that gas outlets in the blocks deliver process gas to gas inlets in an outer periphery of the showerhead. The gas ring includes a bottom ring with channels therein and a welded cover plate enclosing the channels. The gas ring can include a first channel extending ½ the length of the gas ring, two second channels connected at midpoints thereof to downstream ends of the first channel, and four third channels connected at midpoints thereof to downstream ends of the second channels. the cover plate can include a first section enclosing the first channel, two second sections connected at midpoints thereof to ends of the first section, and third sections connected at midpoints thereof to ends of the second sections. The channels are arranged such that the process gas travels equal distances for a single gas inlet in the gas ring to eight outlets in the cover ring allowing equal gas flow.

Подробнее
13-12-2012 дата публикации

High-frequency supply of a load without impedance matching

Номер: US20120313596A1
Автор: Oliver Heid
Принадлежит: SIEMENS AG

An energy supplying device for a load has a direct current source, a number of switching stages, and a control device. The switching stages are connected to the direct current source, the load, and the control device such that the control device can drive the switching stages to selectively connect the load to the direct current source. Each switching stage has a field effect transistor and a number of freewheeling diodes connected in opposition to the respective field effect transistor in parallel. The field effect transistors have a maximum operational threshold frequency. Each freewheeling diode has a recovery time. For each switching stage, the recovery times of the respective freewheeling diodes correspond with the reciprocal value of the threshold frequency of the respective field effect transistor. The control device controls the switching stages at least intermittently such that power is reflected back into the switching stages on the basis of a mismatch.

Подробнее
13-12-2012 дата публикации

Crystalline silicon film forming method and plasma cvd apparatus

Номер: US20120315745A1
Принадлежит: Tokyo Electron Ltd

A high-quality crystalline silicon film can be formed at a high film forming rate by performing a plasma CVD process. In a crystalline silicon film forming method for forming a crystalline silicon film on a surface of a processing target object by using a plasma CVD apparatus for introducing microwave into a processing chamber through a planar antenna having a multiple number of holes and generating plasma, the crystalline silicon film forming method includes generating plasma by exciting a film forming gas containing a silicon compound represented as Si n H 2n+2 (n is equal to or larger than 2) by the microwave; and depositing a crystalline silicon film on the surface of the processing target substrate by performing the plasma CVD process with the plasma.

Подробнее
27-12-2012 дата публикации

Plasma processing apparatus and plasma processing method

Номер: US20120325777A1
Принадлежит: Panasonic Corp

A base material is placed on a base material placement face of a base material placement table. An inductively coupled plasma torch unit is structured with a cylindrical chamber structured with a cylinder made of an insulating material and provided with a rectangular slit-like plasma jet port, and lids closing opposing ends of the cylinder, a gas jet port that supplies gas into the cylindrical chamber, and a solenoid coil that generates a high frequency electromagnetic field in the cylindrical chamber. By a high frequency power supply supplying a high frequency power to the solenoid coil, plasma is generated in the cylindrical chamber, and the plasma is emitted from the plasma jet port to the base material. While relatively shifting the plasma torch unit and the base material placement table, a base material surface can be subjected to heat treatment.

Подробнее
03-01-2013 дата публикации

Method and device for plasma-treating workpieces

Номер: US20130004682A1
Принадлежит: KHS CORPOPLAST GMBH

The method and device are used to plasma-treat workpieces. The workpiece is inserted into a chamber of a treatment station that can be at least partially evacuated. The plasma chamber is bounded by a chamber bottom, a chamber cover, and a lateral chamber wall. The method process is optically monitored at least at times. In the optical monitoring, spectral lines of the radiation of the plasma above 500 nanometers are evaluated. Preferably, the evaluation is performed for frequencies above 700 nanometers.

Подробнее
03-01-2013 дата публикации

Method and apparatus for measuring the power of a power generator while operating in variable frequency mode and/or while operating in pulsing mode

Номер: US20130006555A1
Принадлежит: Advanced Energy Industries Inc

Methods and apparatuses are disclosed for measuring electrical characteristics of power that is applied to a plasma processing chamber when the electrical generator operates in a pulsing mode, when the electrical generator operates in a variable frequency mode, and when the electrical generator operates in both a pulsing mode and in a variable frequency mode concurrently.

Подробнее
10-01-2013 дата публикации

Plasma processing apparatus

Номер: US20130008609A1
Принадлежит: Tokyo Electron Ltd

A plasma processing apparatus includes a processing chamber, a first electrode and a second electrode disposed to face each other, a high frequency power supply unit for applying a high frequency power to either the first electrode or the second electrode, a processing gas supply unit for supplying a processing gas to a processing space, and a main dielectric member provided at a substrate mounting portion on a main surface of the first electrode. A focus ring is attached to the first electrode to cover a peripheral portion of the main surface of the first electrode and a peripheral dielectric member is provided in a peripheral portion on the main surface of the first electrode so that an electrostatic capacitance per unit area applied between the first electrode and the focus ring is smaller than that applied between the first electrode and the substrate by the main dielectric member.

Подробнее
10-01-2013 дата публикации

Methods for automatically determining capacitor values and systems thereof

Номер: US20130008871A1
Автор: Arthur H. Sato
Принадлежит: Individual

A method for automatically performing power matching using a mechanical RF match during substrate processing is provided. The method includes providing a plurality of parameters for the substrate processing wherein the plurality of parameters including at least a predefined number of learning cycles. The method also includes setting the mechanical RF match to operate in a mechanical tuning mode. The method further includes providing a first set of instructions to the substrate processing to ignore a predefined number of cycles of Rapid Alternating Process RAP steps. The method yet also includes operating the mechanical RF match in the mechanical tuning mode for the predefined number of learning cycles. The method yet further includes determining a set of optimal capacitor values. The method moreover includes providing a second set of instructions to a power generator to operate in a frequency tuning mode.

Подробнее
10-01-2013 дата публикации

Protective device for electrode holders in cvd reactors

Номер: US20130011581A1
Принадлежит: Wacker Chemie AG

A device for protecting electrode holders in CVD reactors includes an electrode suitable for accommodating a filament rod on an electrode holder which includes an electrically conductive material and is installed in a recess of a bottom plate, wherein an intermediate space between an electrode holder and a bottom plate is sealed by means of a sealing material, and the sealing material is protected by a protective body which is made up of one or more parts and is arranged in a ring-like manner around the electrodes, and the height of the protective body increases at least in sections in the direction of the electrode holder.

Подробнее
10-01-2013 дата публикации

Silicon oxide film forming method and plasma oxidation apparatus

Номер: US20130012033A1
Принадлежит: Tokyo Electron Ltd

A silicon oxide film forming method includes forming a silicon oxide film by allowing a plasma of a processing gas to react on a silicon exposed on a surface of a target object to be processed in a processing chamber of a plasma processing apparatus. The processing gas includes an ozone-containing gas having a volume ratio of O 3 to a total volume of O 2 and O 3 , ranging 50% or more.

Подробнее
17-01-2013 дата публикации

Substrate processing apparatus

Номер: US20130014895A1
Принадлежит: Tokyo Electron Ltd

A substrate processing apparatus can suppress an edge gas from being diffused toward a center region of a substrate. An upper electrode 200 serving as a gas introducing unit configured to supply one kind of gas or different kinds of gases to a center region and an edge region of the substrate includes a center gas inlet section 204 having a multiple number of gas holes 212 for a center gas; and an edge gas inlet section 206 having a multiplicity of gas holes 214 for an edge gas. By providing a gas hole formation plate 230 having gas holes 232 communicating with the gas holes 214 at a bottom surface of the edge gas inlet section 206, a vertical position of edge gas discharging openings can be adjusted.

Подробнее
17-01-2013 дата публикации

Plasma processing apparatus and plasma processing method

Номер: US20130017686A1
Принадлежит: ROHM CO LTD

A plasma processing apparatus for processing an object to be processed using a plasma. The apparatus includes a processing chamber defining a processing cavity for containing an object to be processed and a process gas therein, a microwave radiating antenna having a microwave radiating surface for radiating a microwave in order to excite a plasma in the processing cavity, and a dielectric body provided so as to be opposed to the microwave radiating surface, in which the distance D between the microwave radiating surface and a surface of the dielectric body facing away from the microwave radiating surface, which is represented with the wavelength of the microwave being a distance unit, is determined to be in the range satisfying the inequality 0.7× n /4≦ D ≦1.3× n /4 ( n being a natural number).

Подробнее
17-01-2013 дата публикации

Plasma nitriding method and plasma nitriding apparatus

Номер: US20130017690A1
Принадлежит: Tokyo Electron Ltd

In a plasma nitriding method, a processing gas containing nitrogen gas and rare gas is introduced into a processing chamber of a plasma processing apparatus by setting a flow rate thereof as a total flow rate [mL/min(sccm)] of the processing gas per 1 L volume of the processing chamber within a range from 1.5 (mL/min)/L to 13 (mL/min)/L. Further, a nitriding process is performed on oxygen-containing films of target objects to be processed by generating a nitrogen-containing plasma in the processing chamber and while exchanging the target objects.

Подробнее
24-01-2013 дата публикации

Plasma nitriding method

Номер: US20130022760A1
Принадлежит: Tokyo Electron Ltd

A plasma nitriding method includes performing a high nitrogen-dose plasma nitriding process on an object having an oxide film by introducing a processing gas containing a nitrogen gas into a processing chamber of a plasma processing apparatus and generating a plasma containing a high nitrogen dose; and performing a low nitrogen-dose plasma nitriding process on the object by generating a plasma containing a low nitrogen dose. After the performing the high nitrogen-dose plasma nitriding process is completed, a plasma seasoning process is performed in the chamber by generating a nitrogen plasma containing a trace amount of oxygen by introducing a rare gas, a nitrogen gas and an oxygen gas into the chamber and setting a pressure in the chamber in a range from about 532 Pa to 833 Pa and a volume flow rate ratio of the oxygen gas in all the gases in a range from about 1.5% to 5%.

Подробнее
31-01-2013 дата публикации

Gas supply system

Номер: US20130025715A1
Принадлежит: Horiba Stec Co Ltd

A gas supply system is provided. The system includes a plurality of component gas supply pipes, a plurality of flow rate control mechanisms for controlling flow rates of the component gases flowing in the component gas supply pipes, and a material gas supply pipe connected with downstream ends of the component gas supply pipes, and connected with one of the gas supply ports at a downstream. The flow rate control mechanism includes flow rate control valves, individual pressure sensors, and fluid resistance elements provided to the component gas supply pipes in this order from upstream, respectively, a common pressure sensor, and controllers for calculating the flow rates of the gases flowing in the component gas supply and controlling the flow rate control valves of the corresponding component gas supply pipes so that the calculated component gas flow rate approaches a predetermined gas flow rate, respectively.

Подробнее
31-01-2013 дата публикации

Plasma processing method and plasma processing apparatus

Номер: US20130029492A1
Принадлежит: Hitachi High Technologies Corp

A plasma processing method and a plasma processing apparatus in which a stable process region can be ensured in a wide range, from low microwave power to high microwave power. The plasma processing method includes making production of plasma easy in a region in which production of plasma by continuous discharge is difficult, and plasma-processing an object to be processed, with the generated plasma, wherein the plasma is produced by pulsed discharge in which ON and OFF are repeated, radio-frequency power for producing the pulsed discharge, during an ON period, is a power to facilitate production of plasma by continuous discharge, and a duty ratio of the pulsed discharge is controlled so that an average power of the radio-frequency power per cycle is power in the region in which production of plasma by continuous discharge is difficult.

Подробнее
31-01-2013 дата публикации

Plasma etching method, control program and computer storage medium

Номер: US20130029493A1
Принадлежит: Individual

A plasma etching method, for plasma-etching a target substrate including at least a film to be etched, an organic film to become a mask of the to-be-etched film, and a Si-containing film which are stacked in order from bottom, includes the first organic film etching step, the treatment step and the second organic film etching step when the organic film is etched to form a mask pattern of the to-be-etched film. In the first organic film etching step, a portion of the organic film is etched. In the treatment step, the Si-containing film and the organic film are exposed to plasma of a rare gas after the first organic film etching step. In the second organic film etching step, the remaining portion of the organic film is etched after the treatment step.

Подробнее
07-02-2013 дата публикации

Plasma resonant cavity

Номер: US20130033342A1
Принадлежит: Yangtze Optical Fibre and Cable Co Ltd

A plasma resonant cavity, including a cylindrical resonant cavity casing, cutoff waveguides, and a waveguide inlet circumferentially formed on the cylindrical resonant cavity casing. The cutoff waveguides are arranged at two ends of the cylindrical resonant cavity casing and employ a movable end cover structure. An intermediate through hole is formed on each cutoff waveguide with the movable end cover structure, and a raised round table is arranged on an inner end surface of the cutoff waveguide and configured with the resonant cavity.

Подробнее
07-02-2013 дата публикации

Gasket with positioning feature for clamped monolithic showerhead electrode

Номер: US20130034967A1
Принадлежит: Individual

An electrode assembly for a plasma reaction chamber used in semiconductor substrate processing. The assembly includes an upper showerhead electrode which is mechanically attached to a backing plate by a series of spaced apart cam locks. A thermally and electrically conductive gasket with projections thereon is compressed between the showerhead electrode and the backing plate at a location three to four inches from the center of the showerhead electrode. A guard ring surrounds the backing plate and is movable to positions at which openings in the guard ring align with openings in the backing plate so that the cam locks can be rotated with a tool to release locking pins extending from the upper face of the electrode.

Подробнее
14-02-2013 дата публикации

Plasma Deposition of Amorphous Semiconductors at Microwave Frequencies

Номер: US20130037755A1
Автор: Stanford R. Ovshinsky
Принадлежит: Stanford R. Ovshinsky

Apparatus and method for plasma deposition of thin film photovoltaic materials at microwave frequencies. The apparatus avoids deposition on windows that couple microwave energy to deposition species. The apparatus includes a microwave applicator with one or more conduits that carry deposition species. The applicator transfers microwave energy to the deposition species to energize them to a reactive state. The conduits physically isolate deposition species that would react or otherwise combine to form a thin film material at the point of microwave power transfer and deliver the microwave-excited species to a deposition chamber. Supplemental material streams may be delivered to the deposition chamber without passing through the microwave applicator and may combine with deposition species exiting the conduits to form a thin film material. Precursors for the microwave-excited deposition species include fluorinated forms of silicon. Precursors for supplemental material streams include hydrogenated forms of silicon.

Подробнее