Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 1358. Отображено 200.
06-08-2015 дата публикации

Gehäuse eines integrierten Schaltkreises und Verfahren zum Bilden desselben

Номер: DE102014019634A1
Принадлежит:

Eine Ausführungsform einer Gehäuse-auf-Gehäuse(PoP)-Vorrichtung umfasst eine Gehäusestruktur, einen Gehäuseträger und eine Vielzahl von Anschlüssen, die die Gehäusestruktur mit dem Gehäuseträger verbinden. Die Gehäusestruktur umfasst einen Logikchip, der mit einem Speicherchip verbunden ist, eine Formmasse, die den Speicherchip umschließt und eine Vielzahl leitfähiger Stifte, die sich durch die Formmasse hindurch erstrecken. Die Vielzahl der leitfähigen Stifte ist an Kontaktpolstern auf dem Logikchip befestigt.

Подробнее
18-03-2010 дата публикации

SEKUNDÄRBATTERIE MIT KONSTANTSPANNUNG

Номер: DE602005019188D1
Принадлежит: LG CHEMICAL LTD, LG CHEMICAL LTD.

Подробнее
02-10-2008 дата публикации

Magnetischer Direktzugriffspeicher mit hoher Selektivität

Номер: DE602004010316T2

Подробнее
23-11-2006 дата публикации

Steuerverfahren für einen Mikrowellenofen

Номер: DE0010138934B4

Verfahren zum Steuern eines Mikrowellenofens, wobei der Mikrowellenofen aufweist: ein Gleichrichter- und Glättungsteil (3) zum Erzeugen einer Gleichspannung aus einer von einem Stromversorgungsteil (1) zugeführten Wechselspannung, einem Wechselrichterteil (5) zum Erzeugen einer Wechselspannung aus der vom Gleichrichter- und Glättungsteil (3) zugeführten Gleichspannung, einem Hochspannungstransformator (7) zum Erzeugen einer Hochspannung aus der vom Wechselrichterteil (5) zugeführten Wechselspannung, und einem Magnetron (9) zum Erzeugen von Mikrowellenstrahlung aus der vom Hochspannungstransformator (7) zugeführten Hochspannung; und wobei das Verfahren die Schritte aufweist: Feststellen (S25), ob der Strom der vom Gleichrichter- und Glättungsteil (3) dem Wechselrichter (5) zugeführten Gleichspannung über einem vorbestimmten Stromwert liegt; wenn festgestellt wird, dass der Strom oberhalb des vorbestimmten Stromwerts liegt, Unterbrechen (S27-S31) der Stromzufuhr zum Magnetron (9) für eine ...

Подробнее
29-12-2017 дата публикации

A TORQUE TRANSMISSION DEVICE, IN PARTICULAR FOR MOTOR VEHICLE

Номер: FR0003053092A1
Принадлежит: VALEO EMBRAYAGES

L'invention concerne un dispositif de transmission de couple (1), notamment pour véhicule automobile, comportant un élément d'entrée de couple (7), destiné à être couplé en rotation à un vilebrequin d'un moteur, un élément intermédiaire (19) et un élément de sortie de couple (11), destiné à être couplé en rotation à un arbre d'entrée d'une boîte de vitesses, des premiers moyens d'amortissement étant montés entre l'élément d'entrée de couple (7) et l'élément intermédiaire (19) et des seconds moyens d'amortissement étant montés entre l'élément intermédiaire (19) et l'élément de sortie de couple (11), l'élément d'entrée de couple (7), l'élément de sortie de couple (11) et l'élément intermédiaire (19) étant aptes à pivoter les uns par rapport aux autres autour d'un axe (X), caractérisé en ce que les premiers moyens d'amortissement (20, 21) sont aptes à exercer un effort dirigé circonférentiellement, ou respectivement un effort comprenant une composante radiale, les seconds moyens d'amortissement ...

Подробнее
22-05-1998 дата публикации

TWO-TRACK VALVE OF THE TYPE HAS DETECTION OF PRESSURE HAS DOUBLE SENS

Номер: FR0002732438B1
Автор: KIM, LEE

Подробнее
28-01-2005 дата публикации

SYSTEM OF TELECOMMUNICATIONS FOR VEHICLE

Номер: FR0002832284B1
Автор: CHEN, LEE, HUANG
Принадлежит: SIN ETKE TECHNOLOGY CO., LTD.

Подробнее
18-12-2009 дата публикации

SYSTEM OF AUDIO-VISUAL TRANSMISSION IN A NETWORK VDI

Номер: FR0002923122B1
Автор: LEE HENRI
Принадлежит: CASANOVA

Подробнее
23-09-2005 дата публикации

PROCESS OF TRANSFER Of INFORMATION ON INSTALLATIONS BY RADIO AND CENTREDE MANAGEMENT

Номер: FR0002832278B1
Автор: CHEN, LEE, HUANG
Принадлежит: SIN ETKE TECHNOLOGY CO., LTD.

Подробнее
24-06-2005 дата публикации

CIRCUIT AND PROCESS OF VERTICAL ORDER OF DIMENSIONS AND HORIZONTAL DEL' SCREEN Of a MONITOR HAS Cathode tube

Номер: FR0002841677B1
Автор: LEE
Принадлежит: SAMSUNG ELECTRONICS CO LTD

Подробнее
22-06-2012 дата публикации

OPTICAL DEVICE Of TACTILE AND PROCEEDED ENTRY OF ORDERING OF THIS DEVICE

Номер: FR0002969330A1
Принадлежит: LG DISPLAY CO LTD

L'invention présente un dispositif optique d'entrée tactile qui ajuste par division une sortie optique d'une unité d'émission de lumière (110) avant et pendant une opération de contact pour réduire une consommation d'énergie, et un procédé de commande de celui-ci. Le dispositif optique d'entrée tactile comprend un panneau d'affichage (70), une pluralité d'éléments d'émission de lumière infrarouge (110) disposés sur deux côtés contigus du panneau d'affichage (70), une unité de lentilles (155) disposée sur les deux côtés contigus restants du panneau d'affichage (70) et réfléchissant la lumière émise par les éléments d'émission de lumière infrarouge (110), une unité de réception de lumière (120) disposée sur les deux côtés contigus du panneau d'affichage (70), et une unité de commande tactile (180) comprenant une unité de calcul de coordonnées de contact (130) pour calculer un ensemble de coordonnées d'un point de contact et une unité de commande d'émission de lumière (112) pour diviser les ...

Подробнее
20-07-2007 дата публикации

LIMP OF JUNCTION FOR VEHICLES AND PROCESS FOR ITS ASSEMBLY

Номер: FR0002866779B1
Автор: LEE, SONG
Принадлежит: TYCO ELECTRONICS AMP KOREA LTD

Подробнее
12-09-2014 дата публикации

A HEADLAMP WITH A COMBINATION OF HIGH BEAM AND LOW BEAM FOR A BICYCLE

Номер: FR0003003010A3
Автор: LEE WEN-SUNG
Принадлежит:

La présente invention porte sur un phare à combinaison de faisceaux haut et bas pour bicyclette, qui comprend un corps principal (1), une lentille (2), une source de lumière vers le bas (3) et une source de lumière directe (4), le corps principal (1) ayant une première surface (12) et une deuxième surface (13) formées dans celui-ci, la lentille (2) étant en regard de la deuxième surface (13), la source de lumière vers le bas (3) étant assemblée à la première surface (12) et une partie du faisceau lumineux qu'elle émet passe de manière oblique à travers l'espace de réception (11) de façon à former une région d'éclairage de faisceau bas, la source de lumière directe (4) étant assemblée à la deuxième surface (13), le faisceau lumineux de la source de lumière directe (4) passant à travers la lentille (2) de façon à former une région d'éclairage de faisceau haut.

Подробнее
22-11-2013 дата публикации

ELECTRIC CONNECTOR

Номер: FR0002990804A3
Принадлежит:

Un connecteur électrique comprend une carte de base (200) ayant plusieurs premières pastilles de contact (201) et secondes pastilles de contact (202) exposées sur la carte de base (200), plusieurs premières bornes (210) et secondes bornes (230) ayant chacune une extrémité connectée avec respectivement la première (201) et la seconde (202) pastille de contact correspondante, et un boîtier isolant (240) ayant une fenêtre (241). L'autre extrémité de la première borne (210) est inclinée vers le bas selon un angle puis recourbée vers le haut et l'arrière pour former une partie de contact en forme d'ardillon (211). L'autre extrémité de la seconde borne (230) définit une saillie de contact (231). La carte de base (200) et les premières (210) et secondes (230) bornes sont disposées dans le boîtier isolant (240). Les parties de contact (211) et les saillies de contact (231) sont exposées à l'extérieur à travers la fenêtre (241).

Подробнее
19-03-1999 дата публикации

COIL Of VACUUM CLEANER

Номер: FR0002722385B1
Принадлежит: DAEWOO ELECTRONICS CO

Подробнее
05-06-2009 дата публикации

NAVIGATION SYSTEM EXCEPT ROAD

Номер: FR0002854455B1
Принадлежит: SIN ETKE TECHNOLOGY CO., LTD.

Подробнее
18-04-2008 дата публикации

FLASHLIGHT HAS MULTIPLE SWITCHING FUNCTION.

Номер: FR0002907190A1
Автор: KANG, LEE, ALI
Принадлежит: PELICAN PRODUCTS INC

L'invention concerne une lampe torche (100) comportant une batterie et deux interrupteurs (112, 196) permettant chacun d'activer une source d'émission de lumière de la lampe. Les interrupteurs sont des interrupteurs à trois positions reliés entre eux et présentent une fonction d'activation momentanée. Des contacts placés sur une partie intermédiaire du cylindre sont destinés à établir le contact avec un dispositif chargeur électrique. Un ressort est placé entre le dispositif de commutation situé au-dessus du cylindre et le dessus de la batterie tandis qu'un autre ressort est placé sur la base du cylindre au niveau du dessous de la batterie. Une tête élargie est placée au-dessus du cylindre et il existe une partie intermédiaire entre la partie tête et le cylindre. La partie intermédiaire reçoit une partie du premier interrupteur. Le deuxième interrupteur est placé dans un couvercle arrière (108) de la lampe torche.

Подробнее
20-06-2008 дата публикации

HEAT EXCHANGER FOR A VEHICLE

Номер: FR0002909939A1
Автор: JUN, SIM, CHO, JEON, LEE, JUNG

L'invention concerne un échangeur de chaleur pour un véhicule. Celui-ci comprend deux réservoirs (40, 50) pour fournir un agent de refroidissement à travers un thermostat pour régler l'ouverture/la fermeture en fonction de la température de l'agent de refroidissement et d'une pompe à eau, et évacuant l'agent refroidi vers un côté moteur; un collecteur (10) couplé avec le réservoir auquel l'agent de refroidissement est fourni; des tubes d'échange de chaleur (20) pour communiquer avec l'organe de chauffage, et agencés en parallèle à une direction du vent de roulement; un collecteur (10) à l'autre côté couplé au réservoir à l'autre côté, qui est fixé à l'autre portion d'extrémité du tube d'échange de chaleur (20) pour communiquer avec celui-ci de manière à évacuer l'agent dans le moteur, et une portion de noyau (60) incluant des ailettes (30) brasées entre les tubes (20) . L'invention est applicable à des échangeurs de chaleur.

Подробнее
07-02-2014 дата публикации

COMPOUNDS [3.3.3] HEXAAZA PROPELLANE AS KEY INTERMEDIATES FOR NEW MOLECULAR EXPLOSIVES AND METHOD FOR MAKING SAME

Номер: FR0002994182A1
Принадлежит: AGENCY FOR DEFENSE DEVELOPMENT

Il est proposé des composés hexaaza[3.3.3]propellane représentés par la formule (I) suivante, qui peuvent être utilisés comme structure de squelette principale pour de nouveaux explosifs moléculaires et un procédé de préparation de ceux-ci : dans laquelle R est H, un alkyle en C1 à C20, un cycloalkyle, un arylalkyle ou un aryle, qui peut contenir des hétéroatomes tels que l'oxygène, l'azote, le soufre, un halogène, etc. ou des insaturations ; et X est H2, O ou S.

Подробнее
15-05-2015 дата публикации

SWITCHING METHOD AND CONNECTION LINES FOR A CONNECTOR WITH A PORT COMBO-

Номер: FR0002964521B1
Принадлежит: ARCADYAN TECHNOLOGY CORPORATION

Подробнее
21-01-2011 дата публикации

DEVICE AND PROCESS OF PILOTING Of a SCREEN Of POSTING HAVE Liquid crystals

Номер: FR0002882850B1
Автор: LEE SEOK WOO, KIM NAM HEE
Принадлежит: LG DISPLAY CO LTD

Подробнее
23-11-2012 дата публикации

ALGORITHMS AND PROCESSES OF DETECTION OF SPECTRUM.

Номер: FR0002906657B1
Принадлежит: SAMSUNG ELECTRO MECHANICS CO., LTD

Подробнее
19-09-2014 дата публикации

METHOD HARDNESS

Номер: FR0002979069B1

Подробнее
13-03-2009 дата публикации

BROADBAND OSCILLATOR VOLTAGE-CONTROLLED.

Номер: FR0002920924A1
Принадлежит: SAMSUNG ELECTRO MECHANICS CO., LTD

Un oscillateur à large bande contrôlé en tension comprend des transistors fournissant un signal d'oscillation stable, une unité inductrice, une batterie de varactors connectée à l'unité inductrice et ayant une capacitance de varactor variant avec le premier signal de commutation et une tension de syntonisation, une batterie de condensateurs de connectée à l'unité inductrice et ayant des capacitances prédéterminées pour un groupement de sections de fréquences, et une batterie de condensateurs à pondération binaire connectée à l'unité.

Подробнее
30-09-2016 дата публикации

EFFECTIVE COOLING FLOW PATH DESIGN AND SUPPORT CONSTITUTION OF HELICALLY COILED LIQUID METAL-TO-AIR HEAT EXCHANGER WITH AN EXTERNAL AIR COOLING MECHANISM AND RESIDUAL HEAT REMOVAL SYSTEM OF LIQUID METAL COOLED REACTORS HAVING THE SAME

Номер: FR0003034180A1
Принадлежит:

La présente description décrit un échangeur de chaleur métal liquide - air, comprenant un flasque étendu et formé dans une direction verticale, une chambre supérieure prévue au niveau d'une partie supérieure d'une circonférence interne du flasque pour loger et décharger un métal liquide introduit par une branche chaude, une chambre inférieure prévue au niveau d'une partie inférieure d'une circonférence interne du flasque, et raccordée à une branche froide pour décharger un métal liquide, un tube d'entrée d'air configuré pour former une première trajectoire d'écoulement dans une direction ascendante pour inhaler l'air, un tube de sortie d'air configuré pour former une seconde trajectoire d'écoulement dans une direction ascendante pour décharger l'air, un tube interne raccordé au tube d'entrée d'air et au tube de sortie d'air, et une pluralité de tubes de transfert de chaleur enroulés dans une direction hélicoïdale dans un premier espace annulaire entre une circonférence externe du tube interne ...

Подробнее
14-12-2007 дата публикации

CIRCUIT Of CANCELLATION OF SHIFT HAS D.C. CURRENT AND AMPLICATEUR HAS GAINPROGRAMMABLE USING THIS ONE

Номер: FR0002902248A1
Автор: PARK, YANG, LEE
Принадлежит: SAMSUNG ELECTRO MECHANICS CO., LTD

Dans un circuit d'annulation de décalage à courant continu, un amplificateur opérationnel est pourvu d'une borne inverseuse, d'une borne non inverseuse et d'une borne de sortie. Une première résistance est connectée à la borne non inverseuse. Une seconde résistance est connectée entre la borne inverseuse et la borne de sortie. Une résistance d'annulation de décalage à courant continu est connectée entre la borne inverseuse et la borne non inverseuse. De même, dans chacun des premier et second circuits d'annulation de décalage à courant continu de l'amplificateur à gain programmable, un amplificateur opérationnel est pourvu d'une borne inverseuse, d'une borne non inverseuse et d'une borne de sortie. Une première résistance est connectée à la borne non inverseuse. Une seconde résistance est connectée entre la borne inverseuse et la borne de sortie. Un circuit d'annulation de décalage à courant continu est connecté entre la borne inverseuse et la borne non inverseuse. Ici, les premier et second ...

Подробнее
15-05-2009 дата публикации

WASHING MACHINE AND PROCEEDED OF OPERATION THIS ONE

Номер: FR0002923496A1
Принадлежит: LG ELECTRONICS INC

Le procédé de fonctionnement d'une machine à laver est décrit, et comprend : une étape de lavage (S10) destinée à laver le linge ; une étape de rinçage (S20) destinée à rincer le linge en fournissant de l'eau après avoir effectué l'étape de lavage (S10) et qui comprend un processus d'élimination de l'eau restante (S44) ; et une étape d'essorage final (S30) destinée à essorer le linge après avoir effectué l'étape de rinçage (S20). La solution détergente ayant une certaine concentration restant à l'intérieur de la machine à laver peut être éliminée par le biais du processus d'élimination de l'eau restante (S44), empêchant ainsi la contamination de l'eau propre fournie due à la solution détergente, afin d'homogénéiser le processus de rinçage (S20) du linge. De plus, le problème des restes de détergent dans le linge après l'étape de rinçage (S20) peut être résolu.

Подробнее
24-03-2017 дата публикации

MULTI-LAYERED SYSTEMS

Номер: FR0002953184B1
Принадлежит: KWANG YANG MOTOR CO., LTD.

Подробнее
06-12-2013 дата публикации

PROCESS OF PANIFICATION

Номер: FR0002944187B1
Принадлежит: PARIS CROISSANT CO LTD

Подробнее
20-12-2013 дата публикации

SYSTEM AND METHOD FOR USING THE SECURE SETTLEMENT NFC.

Номер: FR0002921786B1
Автор: DOO HOJIN, LEE SCHANGWOOK
Принадлежит: SMART CARD LABORATORY, INC.

Подробнее
17-04-2015 дата публикации

ORGANIC ELECTROLUMINESCENT DISPLAY COMPENSATION METHOD AND DEGRADATION THEREOF

Номер: FR0002999327B1
Автор: LEE JIWON, KIM SEONGGYUN
Принадлежит: LG DISPLAY CO., LTD.

Подробнее
17-04-2015 дата публикации

A TOASTER

Номер: FR0003011726A3
Принадлежит:

L'invention concerne un grille-pain et il comprend un boîtier qui contient une chambre de travail et une chambre de chauffage. Un côté du boîtier comporte une première ouverture et le boîtier est pourvu d'au moins une deuxième ouverture. La chambre de travail et la chambre de chauffage sont reliés l'un avec l'autre ; Les rainures longitudinales autant que les deuxièmes ouvertures sont situées dans le boîtier et s'étendent à partir des deuxièmes ouvertures. Au moins une cloison mobile transparente est reliée de manière coulissante à la rainure longitudinale, et la surface latérale de la paroi mobile correspond à la première ouverture. Au moins un mécanisme de positionnement reliée au boîtier et positionne ou soutient une cloison mobile. De l'extérieur du grille-pain, à travers la première ouverture et la cloison mobile transparente sera en mesure d'observer la nourriture pour faciliter à l'utilisateur de comprendre l'état de la nourriture chauffée. La cloison mobile est reliée aux rainures ...

Подробнее
25-03-2011 дата публикации

TEXTILE STRUCTURE WITH PARTICLES OF PHEROMONE

Номер: FR0002950367A3
Автор: LEE SIMON
Принадлежит:

L'invention porte sur une structure textile avec des particules de phéromone, qui comprend un textile (10) et plusieurs particules de phéromone (20) fixées au textile (10). Le parfum produit par les phéromones (20) du sexe opposé peut provoquer un comportement particulier ou une réponse physiologique particulière pour produire un effet d'excitation, d'augmentation du charme, rendant ainsi plus aisé pour le porteur de développer des relations interpersonnelles. Le textile (10) peut être fabriqué en différents styles de vêtement destinés à être porté par le porteur, augmentant ainsi la commodité d'utilisation.

Подробнее
07-10-2016 дата публикации

LASER DIODE PACKAGE.

Номер: FR0003034579A3
Автор: LEE HSUN-FU, LEE HOU-CHIEH
Принадлежит:

L'invention concerne un boitier pour diode laser, comprenant une platine conductrice de chaleur isolée électriquement avec un circuit électronique sur celle-ci; une puce à diode laser montée sur le circuit électronique de la platine conductrice de chaleur isolée électriquement et possédant une anode et une cathode, reliées respectivement à une plage de soudure externe pour des connexions électriques externes ; et un support conducteur de chaleur installé sur une surface de la platine conductrice de chaleur isolée électriquement afin de conduire la chaleur générée par la puce à diode laser vers le support conducteur de chaleur à travers la platine conductrice de chaleur isolée électriquement, dans lequel la puce à diode laser émet la lumière à partir d'un bord de la platine conductrice de chaleur isolée électriquement et la surface d'un plan de contact entre la platine conductrice de chaleur isolée électriquement et le support conducteur de chaleur est ajustée suivant les besoins en puissance ...

Подробнее
02-12-2005 дата публикации

DEVICE OF MOBILE COMMUNICATION OF THE TYPE HAS SMALL ISLANDS

Номер: FR0002858904B1
Автор: HSU, CHEN, LEE
Принадлежит: FAR EAS TONE TELECOMMUNICATIONS CO LTD

Подробнее
28-09-2018 дата публикации

METHOD FOR OPERATING A MEMORY DEVICE AND ELECTRONIC DEVICE

Номер: FR0002916085B1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

Подробнее
26-08-2011 дата публикации

SYSTEM AND a METHOD FOR LIMITING the EFFECTS Of ARCS IN MATRICES Of TRANSMITTERS OF FIELDS

Номер: FR0002909484B1
Автор: WILSON COLIN R, LEE JI UNG
Принадлежит: GENERAL ELECTRIC COMPANY

Подробнее
04-04-2014 дата публикации

Multistandard socket adapter, has set of terminals cooperating with 125 volts socket, and another set of terminals cooperating with 250 volts socket, and penetrating through interface to form earthing portion

Номер: FR0002996366A1
Автор: LEE CHIU-SAN
Принадлежит:

La présente invention concerne un adaptateur de prises de courant multistandard (1), comprenant au moins une interface (10) à prises femelles et un boîtier (11) fixé à cette interface. L'intérieur du boîtier (11) comporte un premier ensemble de bornes (12) et un deuxième ensemble de bornes (14) conformes respectivement aux spécifications des prises en 125 volts et en 250 volts. L'adaptateur de prises (1) comprend en outre un premier ensemble de prises femelles (13) et un deuxième ensemble de prises femelles (15) correspondant au premier et deuxième ensembles de bornes (12, 14) respectivement et conforme aux spécifications des prises pour du 125 volts et du 250 volts. Le deuxième ensemble de bornes (14) peut être utilisé pour des entrée et sortie de tension en 125 volts. Avec une telle structure, des prises mâles en 125 volts et en 250 volts peuvent être branchées dans les prises femelles correspondantes de l'adaptateur (1), réalisant lesdites sorties et entrées de tension et améliorant ...

Подробнее
06-06-2008 дата публикации

Field emitter array for e.g. X-ray tube, has emitters emitting electrons when emission voltage is applied on grid and substrate layers, where grid layer has resistive layer with electrical resistance to locate effects of arc formation

Номер: FR0002909484A1
Автор: WILSON, LEE
Принадлежит: GENERAL ELECTRIC COMPANY

Système et procédé pour limiter les effets de la formation d'arcs dans des matrices d'émetteurs d'électrons du type à émission de champ, améliorant la robustesse de ces matrices. Les matrices d'émetteurs d'électrons du type à émission de champ ont globalement un substrat (32), un isolant (34) et une électrode de grille (36). En incluant une substance résistive (38) dans la grille (36) de la matrice d'émetteurs, les phénomènes de formation d'arcs peuvent être isolés pour un seul émetteur de façon que les autres émetteurs d'une matrice puissent poursuivre l'émission (50) d'électrons et/ou pour que le courant de court-circuit de l'arc puisse être limité.

Подробнее
13-01-2017 дата публикации

CONTROL METHOD FOR A LAUNDRY TREATING APPARATUS

Номер: FR0002999195B1
Автор: KIM JEONGYUN, LEE SANGIK
Принадлежит: LG ELECTRONICS INC.

Подробнее
13-05-2016 дата публикации

Mode Size Converters For Reducing A Modal Profile Of Incoming Light

Номер: FR0003028324A1
Автор: TAO LING, LEE JONATHAN
Принадлежит: TE CONNECTIVITY CORPORATION

Convertisseur de taille de mode (102) incluant un guide d'ondes de recouvrement (106) qui comporte une extrémité d'entrée (130) configurée pour recevoir de la lumière provenant d'un élément optique (104). Le guide d'ondes de recouvrement (106) présente un premier indice de réfraction. Le convertisseur de taille de mode (102) comprend également un guide d'ondes de signal (108) qui est intégré dans le guide d'ondes de recouvrement (106) et présente un deuxième indice de réfraction qui est supérieur au premier indice de réfraction. Le guide d'ondes de signal (108) comprend des premier et deuxième segments de bras (160, 162) et un segment de tronc (164) qui forment une jonction en Y (166). Les premier et deuxième segments de bras (160, 162) sont configurés pour réduire un profil modal de la lumière qui se propage vers le segment de tronc (164) depuis l'extrémité d'entrée (130) du guide d'ondes de recouvrement (106). Chacun des premier et deuxième segments de bras (160, 162) comporte une extrémité ...

Подробнее
10-07-2015 дата публикации

HELICOPTER WITH AN H-SHAPED FRAME

Номер: FR0003016155A1
Автор: LEE HUNG-FU
Принадлежит:

Un hélicoptère ayant une structure en forme de H est fourni. Avec un mécanisme de transmission (50) en forme de H fonctionnant en collaboration avec deux paires d'ensembles formant rotor (20), qui sont disposées sur deux côtés d'une partie avant (11) et d'une partie arrière (12) d'un fuselage (10) et qui est mis en rotation dans des sens opposés, les couples générés par les deux paires d'ensembles formant rotor (20), en rotation dans des sens opposés, sont neutralisés. Ainsi, une posture de vol et une direction de rotation pendant le vol de l'hélicoptère sont maintenus de façon équilibrés, et, dans le même temps, l'hélicoptère est équipé d'une structure simple pour aussi assurer la sécurité des vols.

Подробнее
13-06-2014 дата публикации

ORGANIC ELECTROLUMINESCENCE DISPLAY DEGRADATION COMPENSATION AND METHOD THEREOF

Номер: FR0002999327A1
Автор: LEE JIWON, KIM SEONGGYUN
Принадлежит:

Afficheur électroluminescent organique comportant un panneau d'affichage comportant des pixels, une unité de réglage de zone de compensation 141 pour sélectionner une zone nécessitant une compensation supplémentaire, laquelle est davantage dégradée qu'une dégradation moyenne, en fonction de données de détection de dégradation indiquant un degré de dégradation de diodes électroluminescentes organiques formées dans les pixels, une unité d'extraction d'informations de bords 142 qui analyse des données d'image d'entrée correspondant à la zone nécessitant une compensation supplémentaire et obtient des informations de bords d'une image d'entrée, une unité de calcul de gain de compensation 143 pour calculer différentiellement un gain de compensation à appliquer aux données de compensation dans chacun de blocs de compensation appartenant à la zone nécessitant une compensation supplémentaire en fonction d'une quantité d'informations de bords, et une unité de modulation de données 144 produisant ...

Подробнее
31-01-2014 дата публикации

REACTIVE PLASTICIZER BASED ETHER FOR EXPLOSIVES WITH A PLASTIC BINDER

Номер: FR0002993883A1
Принадлежит:

La présente invention concerne un plastifiant réactif énergétique pour un explosif à liant plastique (PBX), et spécifiquement un plastifiant réactif énergétique pour PBX qui possède des performances et une insensibilité élevées sans fuite de plastifiant, en étant lié à un liant polymère pour un explosif à liant plastique.

Подробнее
14-12-2012 дата публикации

PROCEEDED OF ORDERING OF TRANSMISSION CLUTCH DOUBLE OF VEHICLE HAS

Номер: FR0002976243A1
Принадлежит: HYUNDAI MOTOR COMPANY, KIA MOTORS CORP.

Un procédé de commande d'une transmission à embrayage double d'un véhicule, pour réaliser une série d'opérations de rétrogradation d'une vitesse précédente (N+1) à une vitesse suivante (N-1) par l'intermédiaire d'une vitesse actuelle (N) en réponse à une décélération du véhicule, peut comprendre le maintien (S10) d'un embrayage, qui a été dans un état enclenché à la vitesse précédente (N+1), dans l'état enclenché jusqu'à un instant après qu'un ordre de passer à la vitesse suivante (N-1) peut être généré, lors du changement de la vitesse précédente (N+1) à la vitesse actuelle (N), le relâchement (S30) de l'embrayage après que l'ordre de passer à la vitesse suivante (N-1) peut être généré, et l'enclenchement (S40) d'un pignon de vitesse de la vitesse suivante (N-1) après le relâchement de l'embrayage.

Подробнее
23-10-2015 дата публикации

OLED SUPPORTED TRANSPARENT ELECTRODE

Номер: FR0003020179A1
Автор: LEE YOUNGSEONG, HAN JINWOO
Принадлежит: SAINT-GOBAIN GLASS FRANCE

La présente invention concerne une électrode transparente supportée pour OLED, comprenant, successivement (i) un substrat transparent en verre minéral, (ii) une couche diffusante formée d'un émail haut indice contenant au moins 30 % en poids de Bi2O3, (iii) une couche barrière d'au moins un oxyde métallique diélectrique choisi dans le groupe constitué de Al2O3, SiO2, TiO2, ZrO2 et HfO2 déposée par ALD, (iv) une couche d'un oxyde conducteur transparent (TCO). Elle concerne également un procédé de fabrication d'une telle électrode et une OLED comprenant une telle électrode.

Подробнее
01-07-2016 дата публикации

CONTAINER INCLUDES A SHORT STROKE PUMP

Номер: FR0003031093A1
Автор: LEE DO HOON
Принадлежит: PUM-TECH KOREA CO., LTD

La présente invention propose un récipient compact comprenant une pompe ayant une faible course, qui comprend un corps de récipient 10 pourvu d'un côté d'un bouton 11 et un couvercle de récipient 20 accouplé au moyen d'une charnière à un côté du corps de récipient 10, le récipient compact comprenant : un récipient intérieur 30 comportant un espace récepteur de contenu 31 ; une plaque de support de pompe 40 accouplée à un sommet du récipient intérieur 30 pour sceller le récipient intérieur 30 et pourvue en son centre d'un cylindre 51 ; et une pompe installée sur une partie centrale supérieure de la plaque de support de pompe 40 pour pomper un contenu et ayant une distance de course de 1,5 mm à 2,5 mm, dans laquelle le rapport entre la distance de course et le diamètre intérieur du cylindre 51 vaut de 1/5 à 1/13.

Подробнее
06-07-2007 дата публикации

Liquid crystal display device for use as e.g. screen of television, has common voltage generator generating common voltages to independently deliver voltages to two lines connected to respective common voltage supply lines

Номер: FR0002895827A1
Автор: HWANG, LEE, CHO
Принадлежит: LG DISPLAY CO LTD

Un dispositif d'affichage à cristaux liquides comporte : un substrat inférieur (101) ayant une première (118a) et une deuxième (118b) lignes d'alimentation en tension commune, des régions de pixels définies par des lignes de grille (GL1-GLn) et des lignes de données (DL1-DLm), et des lignes communes (VL1-VLn) raccordées électriquement à la deuxième ligne d'alimentation en tension commune ; un substrat supérieur (103) faisant face au substrat inférieur et ayant une électrode commune raccordée électriquement à la première ligne d'alimentation en tension commune. Un générateur de tension commune (108) génère une première (Vcom1) et une seconde (Vcom2) tensions communes pour délivrer de manière indépendante la première et la seconde tensions communes aux première et deuxième lignes d'alimentation en tension commune.

Подробнее
24-06-2011 дата публикации

PROCESS OF REDUCTION OF the DURATION Of SCHEDULING OF PACKAGES OF AUTOMATIC TRANSFER OF COMMUNICATION OF LAYER 3 AND FINAL CORRESPONDING SATELLITE

Номер: FR0002954657A1

Un procédé est proposé pour réduire la durée d'ordonnancement des paquets d'un transfert automatique de communication de couche de niveau 3, ainsi qu'un terminal satellite mobile correspondant. Ce procédé pour réduire la durée d'ordonnancement des paquets d'un transfert d'un routeur mobile (120) dans un agent local (140) d'un réseau satellite connecté au routeur mobile comprend : la transmission d'un premier paquet et d'un deuxième paquet au routeur mobile par le biais d'une liaison satellite du routeur mobile ; la transmission d'un paquet de contrôle de séquence au routeur mobile par le biais de la liaison satellite ; et la transmission d'un troisième paquet au routeur mobile via une liaison sans fil du routeur mobile. Le paquet de contrôle de séquence propose un temps de référence pour réordonner rapidement les deuxième et troisième paquets qui atteignent le routeur mobile dans une séquence inverse.

Подробнее
06-03-2009 дата публикации

DEVICE OF TUBE Of a DISHWASHER

Номер: FR0002920289A1
Автор: LEE GANG HYUN
Принадлежит: LG ELECTRONICS INC

Un dispositif de buse (200) d'un lave-vaisselle comprenant une buse de lavage (210) configurée pour injecter l'eau de lavage sur des cibles qui doivent être lavées, une partie de passage d'alimentation d'eau (240) pour permettre l'écoulement de l'eau de lavage fournie à la buse de lavage (210), une partie de passage de vidange pour permettre l'écoulement de l'eau de lavage qui doit être évacuée, et une partie d'empêchement de siphon configuré pour empêcher un phénomène de siphon de survenir sur la partie de passage de vidange, moyennant quoi un espace nécessaire pour configurer un passage de vidange et une structure destinée à empêcher le phénomène de siphon peuvent être minimisés de façon à maintenir la taille compacte du lave-vaisselle et à améliorer l'utilisation de l'espace.

Подробнее
12-03-2010 дата публикации

SYSTEM OF CONNECTOR OF CABLES

Номер: FR0002935844A1
Принадлежит: GENERAL ELECTRIC COMPANY

Dans un système de connecteur de câbles, une prise femelle pour le système de connecteur comprend une paire de plaques de compression opposées et au moins un élément rotatif conçu pour déplacer les plaques de compression opposées lorsque tourne l'élément rotatif. Les plaques de compression créent un contact avec une partie de la prise mâle insérée entre celles-ci.

Подробнее
27-11-2015 дата публикации

MOBILE TERMINAL AND METHOD FOR CONTROLLING THE SAME

Номер: FR0003021425A1
Принадлежит: LG ELECTRONICS INC.

L'invention concerne un terminal mobile (100) pouvant commander efficacement la réception d'un appel entrant dans un état dans lequel un terminal externe capable d'assister une sortie audio est connecté avec le terminal mobile (100) et un procédé de commande associé. Ledit terminal mobile (100) inclut une unité de communication sans fil (110) configurée pour communiquer avec le terminal externe, une unité de détection (140) configurée pour détecter un mouvement du terminal mobile (100), une unité de sortie audio (150) et un appareil de commande (180), si un premier mouvement est détecté par l'unité de détection (140) pendant la réception de l'appel entrant dans un premier état de configuration dont une sortie audio est réalisée via un premier terminal externe, configuré pour accepter l'appel entrant et permuter à une deuxième configuration sortant un signal d'appel pour l'appel entrant accepté via l'unité de sortie audio au lieu du premier terminal externe.

Подробнее
06-05-2011 дата публикации

DEVICE AND PROCESS OF DETECTION OF INTERIOR POSITION BY USING A NUMERICAL SIGNAL OF BROADCASTING

Номер: FR0002952188A1
Автор: SIN CHEON SIG, LEE HO JIN

L'invention décrit un dispositif de réception (130) pour détecter une position intérieure en utilisant des signaux de radiodiffusion numérique, comprenant : une unité de réception recevant un signal de radiodiffusion numérique, qui comprend des informations de navigation utilisées pour déterminer une position du dispositif de réception, transmis à partir d'un dispositif de transmission de signaux de radiodiffusion numérique (121 à 124) ; et une unité de sortie délivrant un signal à l'extérieur du dispositif de réception via une communication sans fil, dans lequel l'unité de réception reçoit les signaux de radiodiffusion numérique à partir d'une pluralité de dispositifs de transmission de signaux de radiodiffusion numérique (121 à 124).

Подробнее
24-08-2012 дата публикации

TECHNIQUE BY SPECTRAL DOPPLER HAS MULTIPLE BEAMS OF THE IMAGERY OF DIAGNOSTIC MEDICAL BY ULTRASOUND

Номер: FR0002971696A1
Принадлежит: SIEMENS MEDICAL SOLUTIONS USA, INC

Des informations Doppler Spectral distinctes spatialement sont acquises (30). Des faisceaux d'émission distincts spatialement sont formés (26) à un même instant ou en parallèle. Un ou plusieurs faisceaux de réception sont formés (28) en réponse à chaque faisceau d'émission, fournissant des échantillons pour une pluralité d'emplacements à distance latéralement les uns des autres. Un spectre est déterminé (30) pour chacun d'une pluralité d'emplacements. Suivant une autre technique, des échantillons sont acquis pour des régions différentes à des instants différents. Le balayage pour chaque région est entrelacé (40) sur la base de l'opération anatomique. Comme l'estimation (30) spectrale repose sur des séries continues dans le temps d'émission et de réception, le balayage pour les régions a lieu sur une durée suffisante pour une estimation spectrale avant que le balayage pour une région différente ait lieu. En utilisant une opération anatomique, un temps suffisant est fourni pour l'estimation ...

Подробнее
19-06-2009 дата публикации

MANUFACTORING PROCESS Of a GALLIUM NITRIDE SUBSTRATE

Номер: FR0002925071A1
Автор: LEE JEONG SIK
Принадлежит: CORNING PRECISION MATERIALS CO., LTD

La présente invention concerne un procédé de fabrication d'un substrat de nitrure de gallium (GaN) fournissant un film épais de GaN sans provoquer ni incurvation ni fissure pouvant survenir dans un processus de croissance. Dans ce but, une couche de nitrure destinée à être enrobée (20) ayant une pluralité de vides (50) dans celle-ci est enrobée entre une couche de GaN (30) et un substrat de base (10). Le procédé comprend la préparation d'un substrat de base (10), la croissance, sur ce dernier, de la couche (20) ayant une pluralité de parties riches en indium (40) à une première température, et la croissance d'une couche de GaN (30) sur la couche (20) à une seconde température inférieure à la première température, pour métalliser la partie (40) pour former une pluralité de vides (50) dans la couche (20).

Подробнее
08-07-2011 дата публикации

SCREEN OUT OF PAPER ELECTRONIC AND MANUFACTORING PROCESS OF THIS ONE

Номер: FR0002954992A1
Принадлежит: SAMSUNG ELECTRO MECHANICS CO LTD

Il est décrit ici un écran en papier électronique et un procédé de fabrication de celui-ci. L'écran en papier électronique peut être configuré pour inclure : un substrat ; une couche de fils qui est formée sur le substrat ; un ensemble tampon qui est connecté électriquement à la couche de fils et est disposé sur le substrat ; une couche barrière qui est disposée sur la couche de fils ; une électrode à segment qui est disposée sur la couche barrière et est connectée électriquement à la couche de fils ; un film de papier électronique qui est disposé sur le substrat incluant l'électrode à segment ; et une puce pilote qui est montée sur l'ensemble tampon.

Подробнее
27-03-2009 дата публикации

OSCILLATOR LLC IN SQUARING INCLUDING/UNDERSTANDING a COMPENSATOR OF DEFECT Of ADAPTATION OF PHASE AND AMPLITUDE

Номер: FR0002921526A1
Принадлежит: SAMSUNG ELECTRO MECHANICS CO., LTD

Dans un mode de réalisation particulier de l'invention, il est proposé un oscillateur LC en quadrature comprenant deux oscillateurs LC interconnectés l'un avec l'autre pour générer des signaux d'horloge I/Q et un compensateur de défaut d'adaptation de phase et d'amplitude. Le détecteur de défaut d'adaptation de phase et d'amplitude peut comprendre un détecteur de défaut d'adaptation d'amplitude, un transconducteur, et un condensateur pour compenser à la fois les défaut d'adaptation de phase et d'amplitude entre les signaux d'horloge I/Q générés par l'oscillateur LC en quadrature.

Подробнее
06-03-2009 дата публикации

MACHINE AND STEAM GENERATOR HAS LAVER/SECHER INCLUDING/UNDERSTANDING THIS ONE.

Номер: FR0002920446A1
Автор: LEE KYU WON
Принадлежит: LG ELECTRONICS INC

Générateur de vapeur et machine à laver/sécher comprenant celui-ci, le générateur de vapeur (200) comprenant: un boîtier (210, 220) avec une ouverture (221) sur un côté de celui-ci, une unité de chauffage (231) formée pour pénétrer dans l'ouverture ; et un ensemble de fixation monté au niveau de l'ouverture de manière à étanchéiser l'ouverture et supporter l'unité de chauffage (231), dans lequel l'ensemble de fixation comprend un élément d'étanchéité (233) pour étanchéiser l'ouverture et l'ouverture comprend une partie (222) de protection contre les fuites pour empêcher une formation d'interstice entre l'ouverture (221) et l'élément d'étanchéité (233) quand l'élément d'étanchéité est déformé, en empêchant ainsi une fuite entre l'ouverture du boîtier et un élément d'étanchéité, même si le boîtier ou l'élément d'étanchéité est déformé thermiquement ...

Подробнее
22-08-2000 дата публикации

OPTICAL READING DEVICE

Номер: FR0035480161B1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

Подробнее
05-07-2000 дата публикации

CLEANING ROBOT, SYSTEM OF CLEANING ROBOTIZES AND PROCEEDED OF ORDER DECELUI-CI

Номер: FR0039762358B1
Автор: SONG, LEE, KO
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

Подробнее
20-11-2000 дата публикации

OPTICAL READING DEVICE

Номер: FR0030389139B1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

Подробнее
13-12-2000 дата публикации

SADDLE OF BICYCLE

Номер: FR0039595106B1
Автор: LEE
Принадлежит: LEE

Подробнее
17-02-2000 дата публикации

PROCESS AND DEVICE TO CODE A VECTOR OF DISPLACEMENT

Номер: FR0033146642B1
Автор: LEE
Принадлежит: MAPLE VISION TECHNOLOGIES INC.

Подробнее
09-03-2000 дата публикации

PROCESS AND DEVICE TO CODE A VECTOR OF DISPLACEMENT

Номер: FR0037441291B1
Автор: LEE
Принадлежит: MAPLE VISION TECHNOLOGIES INC.

Подробнее
10-08-2000 дата публикации

APPARATUS TO PREVENT an ERROR Of AUTOCONVERGENCE IN a RECEIVER DETELEVISION HAS PROJECTION.

Номер: FR0033331623B1
Автор: LEE, KIM
Принадлежит: LG ELECTRONICS INC

Подробнее
13-05-2000 дата публикации

APPARATUS TO PREVENT an ERROR Of AUTOCONVERGENCE IN a RECEIVER DETELEVISION HAS PROJECTION.

Номер: FR0034414700B1
Автор: LEE, KIM
Принадлежит: LG ELECTRONICS INC

Подробнее
26-04-2000 дата публикации

SADDLE OF BICYCLE

Номер: FR0036012669B1
Автор: LEE
Принадлежит: LEE

Подробнее
01-12-2000 дата публикации

SADDLE OF BICYCLE

Номер: FR0033216616B1
Автор: LEE
Принадлежит: LEE

Подробнее
24-07-2000 дата публикации

OPTICAL READING DEVICE

Номер: FR0033651997B1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

Подробнее
19-03-2000 дата публикации

CLEANING ROBOT, SYSTEM OF CLEANING ROBOTIZES AND PROCEEDED OF ORDER DECELUI-CI

Номер: FR0031209442B1
Автор: SONG, LEE, KO
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

Подробнее
13-11-2000 дата публикации

PROCESS AND DEVICE TO CODE A VECTOR OF DISPLACEMENT

Номер: FR0030124638B1
Автор: LEE
Принадлежит: MAPLE VISION TECHNOLOGIES INC.

Подробнее
04-01-2000 дата публикации

OPTICAL READING DEVICE

Номер: FR0032974718B1
Принадлежит: SAMSUNG ELECTRONICS CO LTD

Подробнее
20-04-2000 дата публикации

CLEANING ROBOT, SYSTEM OF CLEANING ROBOTIZES AND PROCEEDED OF ORDER DECELUI-CI

Номер: FR0031728068B1
Автор: SONG, LEE, KO
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

Подробнее
24-02-2000 дата публикации

APPARATUS TO PREVENT an ERROR Of AUTOCONVERGENCE IN a RECEIVER DETELEVISION HAS PROJECTION.

Номер: FR0034584026B1
Автор: LEE, KIM
Принадлежит: LG ELECTRONICS INC

Подробнее
19-05-2000 дата публикации

CLEANING ROBOT, SYSTEM OF CLEANING ROBOTIZES AND PROCEEDED OF ORDER DECELUI-CI

Номер: FR0035878999B1
Автор: SONG, LEE, KO
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

Подробнее
02-08-2000 дата публикации

PROCESS AND DEVICE TO CODE A VECTOR OF DISPLACEMENT

Номер: FR0037963351B1
Автор: LEE
Принадлежит: MAPLE VISION TECHNOLOGIES INC.

Подробнее
17-08-2000 дата публикации

CLEANING ROBOT, SYSTEM OF CLEANING ROBOTIZES AND PROCEEDED OF ORDER DECELUI-CI

Номер: FR0033584581B1
Автор: SONG, LEE, KO
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

Подробнее
08-06-2000 дата публикации

SADDLE OF BICYCLE

Номер: FR0035111789B1
Автор: LEE
Принадлежит: LEE

Подробнее
13-01-2000 дата публикации

APPARATUS TO PREVENT an ERROR Of AUTOCONVERGENCE IN a RECEIVER DETELEVISION HAS PROJECTION.

Номер: FR0037406216B1
Автор: LEE, KIM
Принадлежит: LG ELECTRONICS INC

Подробнее
08-10-2000 дата публикации

PROCESS AND DEVICE TO CODE A VECTOR OF DISPLACEMENT

Номер: FR0036852230B1
Автор: LEE
Принадлежит: MAPLE VISION TECHNOLOGIES INC.

Подробнее
24-10-2000 дата публикации

CLEANING ROBOT, SYSTEM OF CLEANING ROBOTIZES AND PROCEEDED OF ORDER DECELUI-CI

Номер: FR0039537388B1
Автор: SONG, LEE, KO
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

Подробнее
02-08-2012 дата публикации

Polyphosphonat, Verfahren zu dessen Herstellung und flammhemmende, thermoplastische Harzzusammensetzung, die selbiges einschliesst

Номер: DE102011090017A1
Принадлежит:

Die vorliegende Erfindung stellt ein Polyphosphonat mit einem Säurewert von etwa 5,5 mg KOH/g oder weniger und dargestellt durch Formel 1 bereit: [Formel 1]wobei A eine Einfachbindung, C1- bis C5-Alkylen, C1- bis C5-Alkyliden, C5- bis C6-Cycloalkyliden -S- oder -SO2- darstellt, R eine substituierte oder unsubstituierte C6- bis C20-Arylgruppe oder eine substituierte oder unsubstituierte C6- bis C20-Aryloxygruppe darstellt, R1 und R2 jeweils unabhängig eine substituierte oder unsubstituierte C1- bis C6-Alkylgruppe, eine substituierte oder unsubstituierte C3- bis C6-Cycloalkylgruppe, eine substituierte oder unsubstituierte C6- bis C12-Arylgruppe oder ein Halogenatom darstellen, a und b jeweils unabhängig eine ganze Zahl von etwa 0 bis etwa 4 darstellen und n eine ganze Zahl von etwa 1 bis etwa 500 darstellt.

Подробнее
17-09-2009 дата публикации

Erzeugung von Identifizierungsinformationen

Номер: DE602006008209D1
Автор: LEE SANG-HO, PARK IN-HO, LEE, PARK

Подробнее
13-12-2012 дата публикации

PUSH BUTTON MECHANISM FOR SHOWERHEAD CONTROL

Номер: US20120312899A1
Принадлежит:

A shower head includes a push-button operated rotatable valve having a plurality of positions including; a first position in which the shower head allows water to flow to a first function, a second position radially offset from the first position in which the shower head allows water to flow to a second function, a third position radially offset from the first position and the second position and to the first position, in which the shower head allows water to flow trickle through the shower head. 1. A shower head comprising:a push-button operated rotatable valve having a plurality of positions including;a first position in which said shower head allows water to flow to a first functiona second position radially offset from said first position in which said shower head allows water to flow to a second functiona third position radially offset from said first position and said second position and to said first position in which said shower head allows water to flow trickle through said shower head.2. The shower head of further comprising:a fourth position radially offset from said first, second and said third position in which water flows to said first function and said second function.3. The shower head of wherein said first function is a spray and said second function is a massage.4. The shower head of wherein said first function is a spray.5. The shower head of wherein said second function is a massage.6. The shower head of further comprising:a housing in which said valve is placed, said housing having a first outlet in fluid communication with said first function and a second outlet in fluid communication with said second function, said first and second outlets being radially offset from each other about an axis.7. The shower head of further comprising:an inlet axially offset from said first and second outlets.8. The shower head of wherein said valve further comprises:a first seal that engages said first and second outlets anda second seal that engages said inlet, ...

Подробнее
16-05-2013 дата публикации

THROUGH SILICON VIA FOR STACKED WAFER CONNECTIONS

Номер: US20130119543A1
Автор: Liu Huang, See Alex, Yu Hong
Принадлежит: GLOBALFOUNDRIES Singapore Pte. Ltd.

Stacked wafer connections are enhanced by forming a though silicon via including a first via portion formed in an upper portion of a via hole and a second via portion in a lower portion of the via hole. Embodiments include forming a via hole in a first surface of a substrate; partially filling the via hole with a dielectric material; filling the remainder of the via hole with a first conductive material; removing a portion of a second surface of the substrate to expose the dielectric material; removing the dielectric material from the via hole; and filling a the via hole with a second conductive material electrically conductively connected to the first conductive material. 1. A method comprising:forming a via hole in a first surface of a substrate;partially filling the via hole with a dielectric material;filling the remainder of the via hole with a first conductive material;removing a portion of a second surface of the substrate to expose the dielectric material;removing the dielectric material from the via hole; andfilling a the via hole with a second conductive material electrically conductively connected to the first conductive material.2. The method according to claim 1 , comprising partially filling the via hole with the dielectric material by:filling the via hole with the dielectric material; andetching the dielectric material to remove a portion of the dielectric material from the via hole.3. The method according to claim 1 , comprising partially filling the via hole with the dielectric material by depositing the dielectric material in the via hole to a depth of at least ⅓ of a total depth of the via hole.4. The method according to claim 3 , wherein the depth is about ½ of the total depth of the via hole.5. The method according to claim 1 , further comprising conformally depositing an isolation material in the via hole before partially filling the via hole with the dielectric material.6. The method according to claim 5 , further comprising depositing a liner ...

Подробнее
06-06-2013 дата публикации

Peg based hydrogel for peripheral nerve injury applications and compositions and method of use of synthetic hydrogel sealants

Номер: US20130142781A1
Принадлежит: Invivo Therapeutics Corp

Hydrogels that may be used for treating peripheral nerves and related methods are provided. Synthetic hydrogel sealants, methods of forming synthetic hydrogel sealants, and the use of synthetic hydrogel sealants are provided.

Подробнее
13-06-2013 дата публикации

Methods of Protecting Elevated Polysilicon Structures During Etching Processes

Номер: US20130149851A1
Принадлежит: GLOBALFOUNDRIES SINGAPORE PTE LTD

Disclosed herein are various methods of protecting elevated polysilicon structures during etching processes. In one example, the method includes forming a layer stack above a semiconducting substrate for a memory device, forming a protective mask layer above the layer stack of the memory device and performing at least one etching process to define a gate electrode for a transistor while the protective mask is in position above the layer stack for the memory device. 1. A method , comprising:forming a layer stack above a semiconducting substrate for a memory device;forming a protective mask layer above said layer stack of said memory device; andperforming at least one etching process to define a gate electrode for a transistor while said protective mask is in position above said layer stack for said memory device.2. The method of claim 1 , wherein forming said protective mask layer above said layer stack of said memory device comprises forming said protective hard mask layer from at least one of silicon dioxide claim 1 , amorphous carbon claim 1 , a carbon based material claim 1 , an organic material or photoresist.3. The method of claim 1 , wherein at least one of said layers of said layer stack of said memory device and said gate electrode are comprised of the same material.4. The method of claim 1 , wherein the method further comprises claim 1 , after performing said at least one etching process claim 1 , removing said protective mask layer from above said layer stack of said memory device.5. The method of claim 1 , wherein said at least one etching process is performed after positioning said substrate in a process chamber and wherein the method further comprises claim 1 , after performing said at least one etching process in said process chamber claim 1 , removing said protective mask layer from above said layer stack of said memory device by performing a process operation in said process chamber before removing said substrate from said process chamber.6. The ...

Подробнее
18-07-2013 дата публикации

STEP-LIKE SPACER PROFILE

Номер: US20130181259A1
Принадлежит:

Interlayer dielectric gap fill processes are enhanced by forming gate spacers with a step-like or tapered profile. Embodiments include forming a gate electrode on a substrate, depositing a spacer material over the gate electrode, etching the spacer material to form a first spacer on each side of the gate electrode, and pulling back the first spacers to form second spacers which have a step-like profile. Embodiments further include depositing a second spacer material over the gate electrode and the second spacers, and etching the second spacer material to form a third spacer on each second spacer, the second and third spacers forming an outwardly tapered composite spacer. 1. A method comprising:forming a gate electrode on a substrate;depositing a low temperature oxide (LTO) spacer material over the gate electrode;etching the LTO spacer material to form a first spacer on each side of the gate electrode; andpulling back the first spacers to form second spacers.2. The method according to claim 1 , further comprising:depositing a second spacer material over the gate electrode and the second spacers; andetching the second spacer material to form a third spacer on each second spacer, the second and third spacers forming an outwardly tapered composite spacer.3. The method according to claim 2 , comprising depositing low temperature LTO for the second spacer material.4. The method according to claim 3 , comprising pulling back the first spacers by wet cleaning.5. The method according to claim 4 , comprising wet cleaning by applying a dilute hydrogen fluoride (dHF).6. The method according to claim 5 , comprising etching the second spacer material by reactive ion etching (RIE).7. The method according to claim 6 , further comprising:a second wet cleaning;depositing a third spacer material over the gate electrodes, second spacers, and third spacers; andetching the third spacer material to form a fourth spacer on each third spacer, the second, third, and fourth spacers forming an ...

Подробнее
25-07-2013 дата публикации

SPACER PROFILE ENGINEERING USING FILMS WITH CONTINUOUSLY INCREASED ETCH RATE FROM INNER TO OUTER SURFACE

Номер: US20130187202A1
Принадлежит: GLOBALFOUNDRIES Singapore Pte. Ltd.

Interlayer dielectric gap fill processes are enhanced by forming gate spacers with a tapered profile. Embodiments include forming a gate electrode on a substrate, depositing a spacer material over the gate electrode and substrate, the spacer layer having a first surface nearest the gate electrode and substrate, a second surface furthest from the gate electrode and substrate, and a continuously increasing etch rate from the first surface to the second surface, and etching the spacer layer to form a spacer on each side of the gate electrode. Embodiments further include forming the spacer layer by depositing a spacer material and continuously decreasing the density of the spacer material during deposition or depositing a carbon-containing spacer material and causing a gradient of carbon content in the spacer layer. 1. A method comprising:forming a gate electrode on a substrate;forming a spacer layer over the gate electrode and substrate, the spacer layer having a first surface nearest the gate electrode and substrate and a second surface furthest from the gate electrode and substrate, the spacer layer further having a continuously increasing etch rate from the first surface to the second surface; andetching the spacer layer to form a spacer on each side of the gate electrode.2. The method according to claim 1 , comprising forming the spacer layer by:depositing a spacer material; andcontinuously decreasing the density of the spacer material during deposition.3. The method according to claim 2 , comprising depositing the spacer material using ozone (O) and tetraethyl orthosilicate (TEOS) as precursors.4. The method according to claim 3 , comprising continuously decreasing the density of the spacer material by continuously decreasing an Oto TEOS ratio during deposition of the spacer material.5. The method according to claim 4 , comprising continuously decreasing the Oto TEOS ratio by continuously increasing the TEOS while maintaining a constant flow of O.6. The method ...

Подробнее
01-08-2013 дата публикации

SAFETY URINARY CATHETER

Номер: US20130197486A1

There is provided, inter alia, a catheter incorporating one or more of a burstable element, a safety balloon, a marking to indication proper insertion amount for the catheter, a slit valve, and a non-fragmenting retention balloon 1. A catheter comprising:an elongated tubular member comprising a proximal end and a distal end;a fluid inlet disposed at said distal end in fluid communication with a fluid outlet disposed at said proximal end;a first lumen within said elongated tubular member providing fluidic communication between said fluid inlet and said fluid outlet;a retention balloon disposed at said distal end;a retention balloon filling inlet disposed at said proximal end;a second lumen within said elongated tubular member providing fluidic communication between said retention balloon and said retention balloon filling inlet; anda burstable element forming a fluid communication barrier between said second lumen and said first lumen or between said second lumen and space external to said catheter.2. The catheter of claim 1 , further comprisinga safety balloon in fluid communication with said second lumen, said retention balloon filling inlet and said retention balloon, wherein said safety balloon is disposed distal to said retention balloon filling inlet;a marking on said elongated tubular member, said marking disposed at a position indicating proper insertion amount for said catheter; ora slit valve disposed between said first lumen and said second lumen allowing fluid communication between said first lumen and said second lumen upon opening of said slit valve.3. The catheter according to claim 1 , wherein said retention balloon is a non-fragmenting balloon.4. The catheter according to claim 1 , wherein said burstable element bursts at a burstable element bursting pressure claim 1 , and wherein said burstable element bursting pressure is less than a physically harmful inflation pressure.5. The catheter according to claim 4 , wherein said burstable element is not ...

Подробнее
24-10-2013 дата публикации

CONSTRUCTS AND METHODS TO IDENTIFY ANTIBODIES THAT TARGET GLYCANS

Номер: US20130281317A1

Nucleic acid constructs for expressing an antibody on the surface of bacteriophage are disclosed, as are methods for using the constructs to identify antibodies that target glycans. 1. A nucleic acid construct comprising HindIII (AAGCTT) , 2G12 VL (SEQ ID NO:1) , C(SEQ ID NO:2) , Intragenic region (SEQ ID NO:3) , pel B (SEQ ID NO:4) , Region X (SEQ ID 5) , spe I (ACTAGT) , VH (SEQ ID NO:6) , C(SEQ ID NO:7) , Hinge (SEQ ID NO:8) , GCN4 (SEQ ID NO:9) , and SalI (GTCGAC) , or a modified version thereof wherein the modification consists of one or more modification in the sequence of the 2G12 VL region and/or one or more modification in the sequence of the VH region , and wherein the modification consists of a substitution of one codon for another codon , up to nine such substitutions , and/or insertion of up to nine additional codons.2. The nucleic acid construct of claim 1 , comprising one or more modification in the sequence of the 2G12 VL region.3. The nucleic acid construct of claim 2 , comprising a modification in the “TAC” nucleotides at nucleotide positions 277-279 of SEQ ID NO:1.4. The nucleic acid construct of claim 1 , comprising one or more modification in the sequence of the VH region.5. The nucleic acid construct of claim 4 , comprising a modification in one or more of the “CGT” nucleotides at nucleotide positions 81-83 claim 4 , the “CTGGAAGAC” nucleotides at nucleotide positions 223-231 claim 4 , and/or the GAC″ nucleotides at nucleotide positions 316-318 of SEQ ID NO:6.6. The nucleic acid construct of claim 1 , comprising one or more modification in the sequence of the 2G12 VL region and one or more modification in the sequence of the VH region.7. The nucleic acid construct of claim 1 , wherein the codon that is added to claim 1 , or substituted in claim 1 , the sequence of the VL and/or VH region is selected from the group consisting of one or more of NNS claim 1 , DVK claim 1 , WNY claim 1 , KVK claim 1 , KMT and TMT.8. A method for identifying an ...

Подробнее
07-01-2021 дата публикации

LIQUID PUMP MECHANISM FOR SOAP DISPENSER

Номер: US20210000304A1
Принадлежит: Purity (Xiamen) Sanitary Ware Co., Ltd.

A liquid pump mechanism for a soap dispenser includes a pump body, and an outlet tube, an upper wave-shaped pad, a piston, a spring, an annular internal sleeve, and a lower wave-shaped pad which are sequentially disposed inside the pump body from top down. A dividing member formed in the pump body divides an inner cavity of the pump body into a main chamber and an inlet chamber. An outlet passage is formed in the outlet tube. The outlet tube and the main chamber are movably sealed and engaged. The piston divides the main chamber into a compatible chamber and a liquid soap chamber. An outlet hole communicating the outlet passage and the liquid soap chamber is disposed on the piston. An inlet hole communicating the liquid soap chamber and the inlet chamber is disposed on the dividing member. The upper wave-shaped pad is disposed between the outlet tube and the piston and movably closes the outlet hole. The lower wave-shaped pad is disposed between the annular internal sleeve and the dividing member and movably closes the inlet hole. 1. A liquid pump mechanism for a soap dispenser , which is characterized in that:the liquid pump mechanism comprises an outlet tube, an upper wave-shaped pad, a piston, a spring, an annular internal sleeve, a lower wave-shaped pad, and a pump body;the pump body has a top opening and a bottom opening, wherein a dividing member is formed inside the pump body and divides an inner cavity of the pump body into a main chamber and an inlet chamber;the outlet tube, the upper wave-shaped pad, the piston, the spring, the annular internal sleeve, and the lower wave-shaped pad are sequentially disposed inside the main chamber from top down along an axial direction of the pump body, wherein the outlet tube, the upper wave-shaped pad, and the piston is movable in the main chamber along the axial direction of the pump body;an outlet passage is formed in the outlet tube; the outlet tube is movably sealed the main chamber; the piston divides the main ...

Подробнее
07-01-2021 дата публикации

Methods and Means for the Measurement of Tubing, Casing, Perforation and Sand-Screen Imaging Using Backscattered X-ray Radiation in a Wellbore Environment

Номер: US20210003519A1
Принадлежит:

An x-ray-based cased wellbore tubing and casing imaging tool is disclosed, the tool including at least a shield to define the output form of the produced x-rays; a two-dimensional per-pixel collimated imaging detector array; a parallel hole collimator format in one direction that is formed as a pinhole in another direction; Sonde-dependent electronics; and a plurality of tool logic electronics and PSUs. A method of using an x-ray-based cased wellbore tubing and casing imaging tool is also disclosed, the method including at least: producing x-rays in a shaped output; measuring the intensity of backscatter x-rays returning from materials surrounding a wellbore; determining an inner and an outer diameter of tubing or casing from the backscatter x-rays; and converting image data from said detectors into consolidated images of the tubing or casing. 1. An x-ray-based cased wellbore tubing and casing imaging tool , said tool comprising:a shield to define the output form of the produced x-rays;a two-dimensional per-pixel collimated imaging detector array;a parallel hole collimator format in one direction that is formed as a pinhole in another direction;Sonde-dependent electronics; anda plurality of tool logic electronics and PSUs.2. The tool of claim 1 , wherein said imaging detector comprises a two-dimensional per-pixel collimated imaging detector arrays claim 1 , wherein the imaging array is one pixel wide and multiple pixels long.3. The tool of claim 1 , wherein said imaging detectors comprise two sets of two-dimensional per-pixel collimated imaging detector arrays.4. The tool of claim 1 , wherein said imaging detectors comprise a plurality of two-dimensional per-pixel collimated imaging detector arrays.5. The tool of claim 1 , wherein the images contain spectral information to inform characteristics of any wellbore materials or debris.6. The tool of claim 1 , wherein said shield further comprises tungsten.7. The tool of claim 1 , wherein the tool is configured so as to ...

Подробнее
09-01-2020 дата публикации

Gear Housing including Snap-Fit Connection Between Housing Cover and Gear Shaft

Номер: US20200011409A1
Принадлежит:

An actuator housing is configured to receive an electric motor and a gear set that modifies the output of the motor. The actuator housing includes a gear housing that supports the gear set for rotation. The gear set includes a worm gear that is driven by the motor and has an opening that is concentric with a rotational axis of the gear. The gear housing includes a container and a cover that closes an open end of the container. The container houses the gear and defines a hollow gear shaft that extends through the gear opening. The cover is secured to the open end of the gear housing via a snap-fit mechanical connection between the cover and the gear shaft. 2. The fastener of claim 1 , wherein the retainer forms a snap-fit connection to the receptacle.3. The fastener of claim 1 , wherein the diameter of the latch portion is greater than a diameter of the receptacle second end.4. The fastener of wherein latch portion has an outer dimension that is greater than an inner diameter of the receptacle second end claim 3 , and the receptacle is configured to expand to an expanded configuration in order to allow passage of the latch portion through the receptacle second end during assembly of the housing cover with the housing container claim 3 , and to elastically contract after the latch portion has passed through the receptacle second end.5. The fastener of claim 1 , wherein the post includes a fixed end that is fixed to the inner surface of the housing cover claim 1 , and a diameter of the fixed end is greater than a diameter of the free end.6. The fastener of claim 1 , wherein the post includes a fixed end that is fixed to the inner surface of the housing cover claim 1 , and the post includes grooves that extend from the fixed end to the free end.7. The fastener of claim 6 , wherein an elastic finger is received within each groove.8. The fastener of claim 1 , wherein the receptacle is disposed inside the shaft such that the receptacle first end and the receptacle second ...

Подробнее
03-02-2022 дата публикации

FIXING STRUCTURE FOR FLUSHING DEVICE

Номер: US20220032333A1
Автор: WU ALEX, WU James, YANG CE-WEN
Принадлежит: Purity (Xiamen) Sanitary Ware Co., Ltd.

A fixing structure for flushing devices, including a fixed seat, a guiding connection part, a first magnetic part, and a second magnetic part. The fixed seat has two opposite ends; one is fixed to a fixed plane, while the other has a recess. The first magnetic part is provided in the recess; the guiding connection part is provided on the flushing device to connect the flushing head and an outlet pipe. The second magnetic part is provided on the guiding connection part; when the guiding connection part is close to the recess, the second magnetic part magnetically attract the first magnetic part. The first magnetic part and the second magnetic part are magnets or magnetic. Therefore, users can connect the guiding connection part with the fixed seat without exerting a force. Furthermore, the fixing structure can be magnetically attracted to positioning without visual confirmation, which is more convenient to use. 1. A fixing structure for a flushing device , comprising:a fixed seat having two ends which are opposite to each other, wherein one of the two ends is fixed to a fixed plane, while the other of the two ends has a recess; the fixed seat further comprises a first magnetic part provided on the recess;the flushing device comprises a guiding connection part and a flushing head, wherein an end of the guiding connection part is connected to the flushing head, while another end of the guiding connection part is connected to a water supply pipe which communicates with the flushing head so that the flushing head can be controlled to make water flow out; the guiding connection part comprises a second magnetic part, and when the guiding connection part of the flushing device is set in the recess of the fixed seat, the second magnetic part and the first magnetic part magnetically attract each other detachably;wherein one of the first magnetic part and the second magnetic part is a magnet, while the other of the first magnetic part and the second magnetic part is a magnetic ...

Подробнее
03-02-2022 дата публикации

Method for diagnosis and prognosis of chronic heart failure

Номер: US20220033905A1

Present application relates to methods for determining whether a subject has heart failure or is at risk of having heart failure, specifically that of heart failure with reduced left ventricular ejection fraction (HFREF) and a heart failure with preserved left ventricular ejection fraction (HFPEF), comprising determining the level of selected miRNA(s) observed in a sample obtained from the subject and wherein an altered level of the miRNA(s) compared to control indicates that the subject has heart failure or is at risk of developing heart failure. Also encompassed are methods of determining an altered risk of death or disease progression to hospitalization and death based on alteration of selected miRNAs in a sample from the subject and kits thereof.

Подробнее
21-01-2021 дата публикации

BRUSHING SPRAYER

Номер: US20210015247A1
Автор: WU ALEX, WU James, YANG CE-WEN
Принадлежит: Purity (Xiamen) Sanitary Ware Co., Ltd.

A brushing sprayer includes a pipe body, a diverter, a control assembly and a brushing head. A pipe body includes an inlet end and an outlet opening communicating with each other. A diverter is positioned in the pipe body, and includes a first flow way, a second flow way and a third flow way communicating with each other and communicating with the inlet end and the outlet end of the pipe body. A control assembly passes through the pipe body, and is connected to the diverter. The control assembly is adapted to plug two selected from the group consisting of the first flow way, the second flow way and the third flow way, whereby a water flow could be regulated by the control assembly to outlet from the first flow way, the second flow way or the third flow way. The brushing head is positioned on the outlet end of the pipe body. 1. A brushing sprayer , comprising:a pipe body, comprising an inlet end and an outlet opening, wherein the inlet end has an inlet opening, and the outlet end has a first outlet opening, a second outlet opening and a third outlet opening, the inlet opening, the first outlet opening, the second outlet opening and the third outlet opening communicate with each other;a diverter, positioned in the pipe body, and comprising a first flow way, a second flow way and a third flow way communicating with each other, wherein the first flow way, the second flow way and the third flow way communicate with the inlet opening, the first outlet opening, the second outlet opening and the third outlet opening;a control assembly, passing through the pipe body, and connected to the diverter; the control assembly is adapted to plug two selected from the group consisting of the first flow way, the second flow way and the third flow way, whereby a water flow could be regulated by the control assembly to outlet from the first flow way, the second flow way or the third flow way; anda brushing head, positioned on the outlet end of the pipe body.2. The brushing sprayer of ...

Подробнее
21-01-2021 дата публикации

FLOW SWITCH FOR HAND SHOWERS

Номер: US20210016304A1
Автор: WU ALEX, WU James, YANG CE-WEN
Принадлежит: Purity (Xiamen) Sanitary Ware Co., Ltd.

The present disclosure provides a flow switch for a hand shower, including an inlet assembly connected to an inlet end of a switching assembly and an outlet assembly connected to an outlet end of the switching assembly. The switching assembly includes a diverter member, a gearing member, a first switching assembly and a second switching assembly. The diverter member has a first installing groove and a second installing groove, wherein each of the first installing groove and the second installing groove has one inlet opening and two outlet openings. The first switching assembly is operated to open or close the two outlet openings of the first installing groove. The second switching assembly is operated to open or close the two outlet openings of the second installing groove. The gearing member could drive the first switching assembly and the second switching assembly to be continuously operated with each other. 1. A flow switch for a hand shower , comprising an inlet assembly , a switching assembly and an outlet assembly , the inlet assembly is installed at an inlet end of the switching assembly while the outlet assembly is installed at an outlet end of the switching assembly; characterized in that: the switching assembly comprises a diverter member , a gearing member , a first switching assembly and a second switching assembly; the diverter member has a first installing groove and a second installing groove recessed from a surface of the diverter member , an extended direction of the first installing groove is parallel to an extended direction of the second installing groove , and the opening of the first installing groove face the opening of the second installing groove; the first switching assembly correspondingly fits into the first installing groove , and the second switching assembly correspondingly fits into the second installing groove; said diverter member has a first inlet channel positioned at the inlet end of the diverter member , and has a first outlet ...

Подробнее
17-01-2019 дата публикации

REAL-TIME OUTPUT CORRECTION OF DETECTOR OUTPUTS RESULTING FROM AZIMUTHAL X-RAY SOURCE VARIATIONS USING MONITORING DETECTORS

Номер: US20190018166A1
Принадлежит:

A measurement compensation mechanism for an electronic radiation source-based borehole logging tool that compensates for geometric variations in the direction output of an x-ray source is provided, the measurement compensation system including: at least one electronic radiation source; at least one radiation shield; at least three reference detectors; and at least one borehole measuring radiation detector. A method of compensating the measurement of an electronic radiation source-based borehole logging tool that compensates for geometric variations in the direction output of an x-ray source is also provided, the method including at least: measuring an azimuthal distribution of radiation intensities equidistant from an electronic radiation source in order to correct a measured radiation value of a borehole-measuring radiation detector relative to the borehole-measuring radiation detector's azimuthal measurement direction. 1. A measurement compensation mechanism for an electronic radiation source-based borehole logging tool that compensates for geometric variations in the direction output of an x-ray source , said measurement compensation system comprising:at least one electronic radiation source;at least one radiation shield;at least three reference detectors; andat least one borehole measuring radiation detector.2. The measurement compensation mechanism of claim 1 , wherein said mechanism is configured to measure the azimuthal distribution of radiation intensities equidistant from an electronic radiation source.3. The measurement compensation mechanism of claim 2 , wherein said mechanism is configured to use the measured azimuthal distribution of radiation intensities equidistant from an electronic radiation source to correct the measured radiation value of a borehole-measuring radiation detector relative to said borehole-measuring radiation detector's azimuthal measurement direction.4. The measurement compensation mechanism of claim 1 , wherein the electronic ...

Подробнее
16-01-2020 дата публикации

ENERGY STORAGE DEVICE

Номер: US20200020894A1
Автор: Madsen Alex
Принадлежит: Dyson Technology Limited

An energy storage device comprising: a container, a mandrel, at least one sheet of separator material, and two or more electrodes. The container comprises an internal space bounded by an internal wall. The mandrel is positioned in the internal space and forms a cavity between a mandrel surface and the internal wall of the container. The sheet of separator material is arranged within the cavity about the mandrel to provide a plurality of discrete separator layers. An electrode is provided between each of the discrete separator layers, the mandrel is compressible, and the shape of the mandrel surface is concentric with the internal wall of the container.

Подробнее
24-01-2019 дата публикации

METHOD FOR COMBINING THE RESULTS OF ULTRASOUND AND X-RAY AND NEUTRON CEMENT EVALUATION LOGS THROUGH MODALITY MERGING

Номер: US20190025455A1
Принадлежит:

A combining mechanism for borehole logging tool data that employs modality merging to combine the output data of various borehole logging tools to provide a combined result and automated interpretation is provided, said mechanism comprising: at least one mechanism for assigning interpretive values to individual processed data types; at least one mechanism for combining the interpretive value data sets; and, at least one mechanism for providing an interpretation. A method of combining borehole logging tool data that employs modality merging to combine the output data of various borehole logging tools to provide a combined result and automated interpretation is also provided, said method comprising: assigning interpretive values to individual processed data types; combining the interpretive value data sets; and, providing an interpretation. 1. A combining mechanism for borehole logging tool data that employs modality merging to combine the output data of various borehole logging tools to provide a combined result and automated interpretation , comprising:at least one mechanism for assigning interpretive values to individual processed data types;at least one mechanism for combining the interpretive value data sets; andat least one mechanism for providing an interpretation.2. The combining mechanism of claim 1 , wherein said mechanism is configured to process ultrasound or acoustic data from a borehole logging tool.3. The combining mechanism of claim 1 , wherein said mechanism is configured to process x-ray data from a borehole logging tool.4. The combining mechanism of claim 1 , wherein said mechanism is configured to process neutron porosity data from a borehole logging tool.5. The combining mechanism of claim 1 , wherein said mechanism is configured to process neutron activation data from a borehole logging tool.6. The combining mechanism for borehole logging tool data of claim 1 , wherein said borehole tool is a wireline-based tool.7. The combining mechanism for ...

Подробнее
24-04-2014 дата публикации

CD CONTROL

Номер: US20140110855A1
Принадлежит: GLOBALFOUNDRIES Singapore Pte. Ltd.

A method includes providing a substrate with a patterned second layer over a first layer. The second layer includes a second layer opening having a first CD equal to the CD produced by a lithographic system (CD). CDis larger than a desired CD (CD). A third layer is formed to fill the opening, leaving a top surface of the second layer exposed. The second layer is removed to produce a mesa formed by the third layer. The CD of the mesa is equal to about the first CD. The mesa is trimmed to produce a mesa with a second CD equal to about CD. A fourth layer is formed to cover the first layer, leaving a top of the mesa exposed. The substrate is etched to remove the mesa and a portion of the first layer below the mesa to form an opening in the first layer with CD. 1. A method of forming a feature comprising:{'sub': L', 'L', 'D, 'providing a substrate with a first layer with a patterned second layer over the first layer, wherein the patterned second layer includes a second layer opening having a first critical dimension (CD) which is equal to the CD produced by a lithographic system (CD), wherein CDis larger than a desired CD (CD);'}forming a third layer over the substrate, the third layer fills the opening and leaves a top surface of the second layer exposed;removing the second layer to produce a mesa formed by the third layer, wherein the CD of the mesa is equal to about the first CD;{'sub': 'D', 'trimming the mesa to produce a mesa with a second CD, the second CD is equal to about CD;'}forming a fourth layer on the substrate to cover the first layer, leaving a top of the mesa exposed; and{'sub': 'D', 'etching the substrate to remove the mesa and a portion of the first layer below the mesa to form an opening in the first layer with CD.'}2. The method in wherein the opening in the first layer comprises an initial CD (CD) at a top of the opening in the first layer and a final CD (CD) at a bottom of the opening in the first layer claim 1 , wherein the CDis smaller than about ...

Подробнее
01-05-2014 дата публикации

COPPER HILLOCK PREVENTION WITH HYDROGEN PLASMA TREATMENT IN A DEDICATED CHAMBER

Номер: US20140117545A1
Принадлежит: GLOBALFOUNDRIES Singapore Pte. Ltd

A copper layer is formed without copper hillocks. Embodiments includes providing a copper layer above a substrate, planarizing the copper layer, performing hydrogen (H) plasma treatment on the copper layer in a first chamber, and forming a barrier layer over the copper layer in a second chamber, different from the first chamber. 1. A method comprising:providing a copper layer above a substrate;planarizing the copper layer;{'sub': '2', 'performing hydrogen (H) plasma treatment on the copper layer in a first chamber; and'}forming a barrier layer over the copper layer in a second chamber,{'sub': '2', 'wherein the first chamber is dedicated for only Hplasma treatment of the copper layer.'}2. The method according to claim 1 , comprising performing the Hplasma treatment at 200 to 400° C.3. The method according to claim 1 , comprising performing the Hplasma treatment for 5 to 60 seconds.4. The method according to claim 1 , comprising performing the Hplasma treatment at 200 to 600 watts.5. The method according to claim 1 , comprising planarizing the copper layer by chemical mechanical polishing (CMP).6. The method according to claim 1 , comprising planarizing the copper layer in a different chamber than the first chamber the second chamber.7. (canceled)8. The method according to claim 1 , further comprising forming an interlayer dielectric (ILD) over the barrier layer.9. The method according to claim 1 , further comprising annealing the copper layer prior to planarizing.10. A method according to claim 1 , comprising forming the barrier layer of a nitride claim 1 , a silicon carbon nitride (SiCNH) claim 1 , or a combination thereof.11. A device comprising:a substrate;{'sub': '2', 'a hydrogen (H) plasma treated copper layer above the substrate; and'}{'sub': '2', 'a barrier layer over the copper layer, deposited in a different chamber from the Hplasma treatment,'}wherein the copper layer is free of copper hillocks.12. A device according to claim 11 , wherein the copper layer ...

Подробнее
25-02-2021 дата публикации

MICRO MOULDING MACHINE AND PROCESS

Номер: US20210053260A1
Автор: Baklund Jon, Madsen Alex

A micro moulding machine and process for forming small plastic parts for the medical device industry. The machine adds heat in two steps to a precision sized plastic pellet and then displaces the entire pellet volume into the mould cavity. A substantial amount of heat is added to the pellet by forcing it through an orifice very near the gate of the mould. The pneumatic pressure to drive the pellet through the orifice is controlled to regulate the amount of heat introduced into the pellet. 1. A plastic moulding process comprising the sequential steps of:forming a cylindrical precision pellet of volume V and having a diameter of D;placing said cylindrical precision pellet into a stationary nozzle having a cylindrical injection path and having a diameter D;conductively heat the stationary pellet in the stationary nozzle for a fixed period of time T;pushing the pellet through an orifice with a diameter smaller than D, quickly for time t shorter than T, thereby adding heat to said pellet;injecting said pellet into a mould cavity having a volume V.2. A plastic moulding process comprising the sequential steps of:cutting a round rod of plastic material to form a cylindrical precision pellet of volume V and having a diameter of D;placing said cylindrical precision pellet into a stationary nozzle having a cylindrical injection path and having a diameter D; said cylindrical injection path defined by the motion of a reciprocating injection pin located in said stationary nozzle;conductively heat the stationary pellet in the stationary nozzle for a fixed period of time T;pushing the pellet with said reciprocating injection pin, through an orifice with a diameter smaller than D, quickly for time t that is shorter than T, thereby adding heat to said pellet proportional to the time period t;injecting said pellet into a mould cavity with a volume V, through a mould opening defining said orifice, thereby displacing the entire pellet volume into said mould cavity. This application is a ...

Подробнее
14-02-2019 дата публикации

Methods and Means for Simultaneous Casing Integrity Evaluation and Cement Inspection in a Multiple-Casing Wellbore Environment

Номер: US20190049621A1
Принадлежит:

An x-ray based cement evaluation tool for measurement of the density of material volumes within single, dual and multiple-casing wellbore environments is provided, wherein the tool uses x-rays to illuminate the formation surrounding a borehole, and a plurality of detectors are used to directly measure the density of the cement annuli and any variations in density within The tool uses x-rays to illuminate the casing surrounding a borehole and a plurality of multi-pixel imaging detectors directly measure the thickness of the casing The tool includes an internal length having a sonde section, wherein the sonde section further includes an x-ray source; a radiation shield for radiation measuring detectors; sonde-dependent electronics; and a plurality of tool logic electronics and PSUs. Other systems and subsystems appropriate for carrying out the foregoing are also disclosed, as are a plurality of example methods of use therefor. 1. An x-ray based cement evaluation tool for measurement of the density of material volumes , wherein the tool uses x-rays to illuminate a formation surrounding a borehole and a plurality of detectors are used to measure the density of the cement annuli and variations in density within , said tool further comprising:an internal length comprising a sonde section, wherein said sonde section further comprises an x-ray source;a radiation shield for radiation measuring detectors;sonde-dependent electronics;and a plurality of tool logic electronics and PSUs.2. The tool of claim 1 , further comprising a detector that is used to measure casing standoff such that other detector responses are compensated for tool stand-off and centralization.3. The tool of claim 1 , wherein said shield further comprises tungsten.4. The tool of claim 1 , wherein the tool is configured so as to permit through-wiring.5. The tool of claim 1 , wherein a plurality of reference detectors is used to monitor the azimuthal output of the x-ray source.6. The tool of claim 1 , wherein ...

Подробнее
01-03-2018 дата публикации

Vacuum conduit attachment tool for connection to different sized vacuum conduits

Номер: US20180055314A1
Принадлежит: EMERSON ELECTRIC CO

An attachment tool for a vacuum cleaning system includes a hollow body that extends from a first end to a second end and defines a flow path, and a conduit connector disposed at the second end of the body. The first end of the hollow body defines an inlet for receiving debris therethrough. The conduit connector includes an outer collar that defines a first inner engagement surface for connection to an outer diameter of a first vacuum conduit, and an inner collar that is concentric with the outer collar and defines a second inner engagement surface for connection to an outer diameter of a second vacuum conduit smaller than the outer diameter of the first vacuum conduit. The inner collar is axially offset from the outer collar towards the first end of the body.

Подробнее
20-02-2020 дата публикации

Housing including Snap-Fit Connection between Housing Components

Номер: US20200055424A1
Принадлежит:

A vehicle seat actuator includes an electric motor and a gear set that connects the drive motor to the seat and transmits the output of the motor to the vehicle seat. The drive motor and gear set are each disposed in an individual, dedicated housing component. The individual housing components are then assembled together to provide the actuator. The housing components are maintained in the assembled configuration using snap fit mechanical fasteners. Each snap-fit fastener includes a receiving portion provided on one housing component and a retaining portion provided on the other housing component. The receiving portion may be a through hole formed in the drive motor housing, while the retaining component protrudes from an outer surface of the gear housing and forms a snap-fit engagement with the receiving portion. 1. A fastener configured to join a first housing portion to a second housing portion , a sidewall; and', 'a flange that protrudes from the sidewall in a direction that is perpendicular to the, 'the first housing portion includingsidewall, the flange including a through hole that extends between a flange first side and a flange second side, a receiving portion that includes the through hole; and', 'a retaining portion that protrudes from an outer surface of the second housing portion and forms a snap-fit engagement with the receiving portion, the retaining portion comprising a post and a beam, and, 'the fastener comprises, 'wherein'} the post includes a post first end that is fixed to the outer surface of the second housing portion and a post second end that is opposed to the post first end in which a longitudinal axis of the post extends through the post first end and the post second end,', a beam fixed end that extends from the post second end; and', 'a beam free end that is spaced apart from the beam fixed end,, 'the beam includes, 'the beam is cantilevered from the post second end so as to overlie the post and extend at an acute angle relative to the ...

Подробнее
17-03-2022 дата публикации

SHOWER APPARATUS

Номер: US20220080432A1
Принадлежит: Purity (Xiamen) Sanitary Ware Co., Ltd.

A showering appliance includes a handheld showerhead and a fixed shower head which includes a fixed portion, a first outlet portion, a first peripheral portion, and a first connector. The fixed portion has a first inlet channel communicating with the first outlet portion. The first peripheral portion is located around the first outlet portion and is disposed with the first connector. The handheld showerhead includes a handle, a second outlet portion, a second peripheral portion, and a second connector. The handle has a second inlet channel communicating with the second outlet portion. The second peripheral portion is located around the second outlet portion and is disposed with the second connector. The first connector and the second connector are magnetically attracted to each other, so that the handheld shower head could be magnetically attached to the first peripheral portion of the fixed shower head, thereby fixing the handheld showerhead. 1. A showering appliance , comprising:a fixed shower head comprising a fixed portion, a first outlet portion, a first peripheral portion, and a plurality of first connectors, wherein the fixed portion has a first inlet channel which communicates with the first outlet portion; the first peripheral portion is located around the first outlet portion and has a plurality of first side portions; the plurality of first connectors are respectively disposed at the plurality of first side portions; anda handheld showerhead comprising a handle, a second outlet portion, a second peripheral portion, and a plurality of second connectors, wherein the handle has a second inlet channel which communicates with the second outlet portion; the second peripheral portion is located around the second outlet portion and has a plurality of second side portions; the plurality of second connectors are respectively disposed at the plurality of second side portions;wherein one of the plurality of first connectors and one of the plurality of second ...

Подробнее
29-05-2014 дата публикации

Dispenser Cap

Номер: US20140147191A1
Принадлежит: Reckitt and Colman Overseas Ltd

A cap for a depilatory composition product container which comprises a product application surface to dispense the composition onto the skin of the user, and a product removal edge for scraping the cream from the skin of the user, such that the cap can function both as product applicator and product residue collector.

Подробнее
24-03-2022 дата публикации

COMPOUNDS FOR USE AS THERAPEUTICALLY ACTIVE SUBSTANCES IN THE TREATMENT AND/OR PREVENTION OF NEURORETINAL DISEASES

Номер: US20220089547A1
Принадлежит: ENDOGENA THERAPEUTICS, INC.

A compound of the formula (I) 2. The compound according to claim 1 , wherein Ris chloro.3. The compound according to claim 1 , wherein A is a 5-oxazolyl residue.4. The compound according to claim 1 , wherein the phenyl ring B is monosubstituted or disubstituted.5. The compound according to claim 1 , wherein the phenyl ring B is monosubstituted.6. The compound according to claim 5 , wherein Ris selected from the group consisting of methyl claim 5 , trifluoromethyl claim 5 , methylsulfanyl claim 5 , methylsulfonyl claim 5 , difluoromethoxy claim 5 , fluoro claim 5 , bromo claim 5 , chloro claim 5 , methoxy and ethoxy.7. The compound according to claim 5 , wherein Ror Ris selected from the group consisting of trifluoromethyl claim 5 , difluoromethoxy claim 5 , methoxy.8. The compound according to claim 1 , wherein the phenyl ring B is disubstituted.9. The compound according to claim 8 , wherein Ris selected from the group consisting of fluoro claim 8 , bromo claim 8 , and chloro claim 8 , and one of R claim 8 , Ror Ris selected from the group consisting of fluoro claim 8 , bromo claim 8 , and chloro.10. The compound according to claim 9 , wherein Ris chloro and Ris fluoro or wherein both Rand Rare fluoro.13. Composition for use according to claim 12 , wherein the use is selected from the group consisting of inherited retinal dystrophies claim 12 , acquired or drug-induced photoreceptor degeneration claim 12 , infectious eye diseases and inflammatory eye diseases claim 12 , wherein the pharmaceutical composition claim 12 , upon administration claim 12 , treats the retinal disease by inducing proliferation of retinal precursor cells claim 12 ,14. Composition for use according to in the treatment and/or prevention of inherited retinal dystrophies claim 12 , preferably for use in the treatment of retinitis pigmentosa (RP).16. Composition for use according to claim 10 , wherein said composition is suitable for intraocular injection. The present invention relates to ...

Подробнее
24-03-2022 дата публикации

N-(4-(OXAZOL-5-YL)PHENYL) CHROMANE-3-CARBOXAMIDE DERIVATIVES AND RELATED COMPOUNDS AS STIMULATORS OF THE PRODUCTION OF RETINAL PRECURSOR CELLS FOR THE TREATMENT OF NEURORETINAL DISEASES

Номер: US20220089583A1
Принадлежит: ENDOGENA THERAPEUTICS, INC.

Compounds and a method of treating a retinal disease that leads to photoreceptor loss or outer-retina degeneration, including administering compound of the formula (I) 2. A compound according to wherein B is selected from the group consisting of a residue of formula (II) claim 1 , (III) or (IV).5. The compound according to claim 1 , wherein Ror Ris selected from the group consisting of methoxy claim 1 , chloro claim 1 , and fluoro.6. The compound according to claim 1 , wherein A is selected from the group consisting a 5-oxazolyl residue claim 1 , pyridine-4-yl residue and a triazolyl residue.8. The compound according to wherein R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , Rand Ris selected from the group consisting of hydrogen claim 1 , methoxy and ethoxy claim 1 , and R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , and Rare hydrogen.9. The compound according to claim 1 , wherein R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , Rand Ris selected from the group consisting of hydrogen claim 1 , fluoro and chloro claim 1 , and R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , R claim 1 , and Rare hydrogen.11. Compound according to for use as a medicament.12. Compound according to for use in the treatment and/or prevention of a retinal disease that leads to photoreceptor loss or outer retina degradation.13. Compound according to for use in the treatment and/or prevention of a retinal disease selected from the group consisting of inherited retinal dystrophies claim 12 , acquired degeneration claim 12 , vascular ...

Подробнее
31-03-2022 дата публикации

WATER OUTPUT DEVICE

Номер: US20220095848A1
Принадлежит: Purity (Xiamen) Sanitary Ware Co., Ltd.

A water output device includes a faucet and a rack. The faucet includes a main body and at least one inlet tube. The main body has an outlet, and the at least one inlet tube is connected to a rear side of the main body. The rack includes a receiving plate, and the receiving plate has a first connecting portion and a receiving portion. The first connecting portion is connected to the rear side of the main body of the faucet. The receiving portion has a first side and a second side, which are opposite. The first side of the receiving portion is located at a top portion of the main body and is connected to the first connecting portion. The second side of the receiving portion extends in a direction away from the first side of the receiving portion. 1. A water output device , comprising:a faucet comprising a main body and at least one inlet tube, wherein the main body has an outlet, and the at least one inlet tube is connected to a rear side of the main body;a rack comprising a receiving plate, wherein the receiving plate has a first connecting portion and a receiving portion; the first connecting portion is detachably connected to the rear side of the main body of the faucet, and the receiving portion has a first side and a second side, which are opposite; the first side of the receiving portion is located above a top portion of the main body and is connected to the first connecting portion, and the second side of the receiving portion extends in a direction away from the first side of the receiving portion.2. The water output device of claim 1 , wherein the faucet has an upward outlet tube adapted to be connected to a spout; the receiving portion of the receiving plate has a first perforation claim 1 , and the upward outlet tube passes through the first perforation.3. The water output device of claim 1 , further comprising a guiding plate claim 1 , wherein the guiding plate has a second connecting portion and a guiding portion; the second connecting portion is adapted ...

Подробнее
19-06-2014 дата публикации

FILAMENT FREE SILICIDE FORMATION

Номер: US20140167121A1
Принадлежит: GLOBALFOUNDRIES Singapore Pte. Ltd.

A device and methods for forming the device are disclosed. The method includes providing a substrate. A gate having a gate electrode and sidewall spacers are formed adjacent to sidewalls of the gate. A height Hof the gate is lower than a height Hof the sidewall spacers. A metal or metal alloy layer is deposited over the spacers, gate and the substrate. The substrate is processed to form metal silicide contact at least over the gate electrode. A top surface of the metal silicide contact over the gate electrode is about coplanar with a top of the sidewall spacer, and the difference between the height of the gate and spacers prevent formation of metal silicide filaments on top of the sidewall spacers. 2. The method of wherein forming the sidewall spacers comprising:providing a spacer layer over the gate; andremoving horizontal portions of the spacer layer to form the sidewall spacers adjacent to the sidewalls of the gate, wherein the top of the sidewall spacer is below a top surface of the gate electrode after the removal of the horizontal portions.3. The method of comprises providing a protection layer covering the substrate and the gate.4. The method of wherein the protection layer includes a spin-on material.5. The method of wherein the spin-on material comprises a photoresist.6. The method of comprises performing a first etch to remove a portion of the protection layer to expose the top surface of the gate electrode.7. The method of comprises performing a second etch to remove a portion of the gate electrode after the first etch such that the height Hof the gate is lower than the height Hof the sidewall spacers after the second etch.8. The method of comprises removing the protection layer after performing the second etch.9. The method of wherein depositing a metal or metal alloy layer comprises depositing a nickel or nickel alloy layer.10. The method of wherein forming the gate comprises providing a gate dielectric layer and a gate electrode layer over the gate ...

Подробнее
12-03-2020 дата публикации

Housing including Snap-Fit Connection between Housing Components

Номер: US20200083775A1
Принадлежит:

A vehicle seat actuator includes an electric motor and a gear set that connects the drive motor to the seat and transmits the output of the motor to the vehicle seat. The drive motor and gear set are each disposed in an individual, dedicated housing component. The individual housing components are then assembled together to provide the actuator. The housing components are maintained in the assembled configuration using snap fit mechanical fasteners. Each snap-fit fastener includes a receiving portion provided on one housing component and an insertion portion provided on the other housing component. The receiving portion may be a slot formed in the gear housing that is partially obstructed by an elastic member, while insertion portion is an ear that protrudes from an outer surface of the drive motor housing and forms both a snap-fit engagement with the elastic member and a press-fit engagement with the slot. 2. The housing assembly of claim 1 , wherein the ear is configured to be inserted into the slot via a relative rotation between the first housing portion and the second housing portion.3. The housing assembly of claim 1 , wherein the second housing portion includes an internal vacancy that communicates with the slot claim 1 , and the latch is cantilevered from a surface of the vacancy in such a way as to protrude into the slot.4. The housing assembly of claim 3 , wherein the surface of the vacancy is parallel to and spaced apart from the slot claim 3 , and the latch is curved and protrudes from the surface in such a way as to overlie the surface.5. The housing assembly of claim 3 , wherein the latch is configured to be deflected into the vacancy by the ear when the ear is partially inserted into the slot claim 3 , and to elastically return to an undeflected configuration when the ear is fully inserted into the slot.6. The housing assembly of claim 1 , wherein the latch includes a fixed end that is secured to the second housing portion claim 1 , a free end that is ...

Подробнее
05-05-2022 дата публикации

FAUCET

Номер: US20220136221A1
Принадлежит: Purity (Xiamen) Sanitary Ware Co., Ltd.

A faucet includes a base, an outlet pipe, and an ultrasonic generator. The base has a receiving groove, wherein the receiving groove has an opening formed on an outer surface of the base. The outlet pipe is engaged with the base, and the outlet pipe has an inlet end and an outlet end. The ultrasonic generator is detachably disposed in the receiving groove and could be taken out via the opening. The engaging structure of the ultrasonic generator and the base of the faucet of the present invention could facilitate the storage of the ultrasonic generator and increase the convenience of using the ultrasonic generator.

Подробнее
30-03-2017 дата публикации

METHOD OF MANUFACTURING AN ELECTROCHEMICAL CELL

Номер: US20170092995A1
Принадлежит: Dyson Technology Limited

A method of manufacturing an electrochemical cell having a gel electrolyte. An electrochemical cell is provided having a cell casing, and a first electrode, a second electrode, an electrolyte solution and a temperature activated gelling agent disposed within the cell casing. A gel electrolyte comprising the electrolyte solution and the gelling agent is formed by passing a current through the electrochemical cell such that the temperature of the gelling agent exceeds the activation temperature of the gelling agent. 1. A method of manufacturing an electrochemical cell having a gel electrolyte comprising:providing an electrochemical cell comprising a cell casing, and a first electrode, a second electrode, an electrolyte solution and a temperature activated gelling agent disposed within the cell casing; andforming a gel electrolyte comprising the electrolyte solution and the gelling agent by passing a current through the electrochemical cell such that the temperature of the gelling agent exceeds the activation temperature of the gelling agent.2. The method of claim 1 , wherein the gelling agent has an activation temperature which is not less than 40° C.3. The method of claim 1 , wherein the gelling agent comprises a polymer.4. The method of claim 1 , wherein the first electrode claim 1 , second electrode claim 1 , electrolyte solution and gelling agent are hermetically sealed within the casing prior to forming the gel electrolyte.5. The method of claim 1 , wherein a magnitude of the current is sufficient to vaporise at least some of the electrolyte solution thereby generating an increase in pressure within the cell.6. The method of claim 5 , wherein the cell casing is configured to resist the pressure generated within the cell casing.7. The method of claim 6 , wherein the cell casing is rigid.8. The method of claim 5 , wherein the pressure generated is greater than atmospheric pressure.9. The method of claim 1 , wherein the current is at least 10 A.10. The method of ...

Подробнее
19-03-2020 дата публикации

ENERGY STORAGE DEVICE

Номер: US20200091545A1
Автор: Madsen Alex
Принадлежит: Dyson Technology Limited

An energy storage device comprising: a container, a mandrel, at least one sheet of separator material, and two or more electrodes. The container comprises an internal space defined by at least one internal wall and a base. The mandrel comprises a longitudinal axis, and is positioned in the container such that the longitudinal axis passes through the internal space and the base. The sheet of separator material is arranged about the mandrel to provide a plurality of discrete separator layers which are spaced apart in a packing direction normal to the longitudinal axis. At least one electrode is provided between each of the discrete separator layers, and the mandrel has at least one hollow column running along the length of its longitudinal axis such that a part of the base is accessible via the hollow column. 1. An energy storage device comprising:a container comprising an internal space defined by at least one internal wall and a base;a mandrel comprising a longitudinal axis and positioned in the container such that the longitudinal axis passes through the internal space and the base;at least one sheet of separator material arranged about the mandrel to provide a plurality of discrete separator layers, the separator layers being spaced apart in a packing direction normal to the longitudinal axis; andtwo or more discrete electrodes,wherein at least one electrode occupies the space between each discrete separator layer of the plurality of discrete separator layers, and the mandrel comprises at least one hollow column running along the length of the longitudinal axis such that a portion of the base is accessible via the hollow column.2. The device of claim 1 , wherein the container is cylindrical and the mandrel has an elliptically shaped cross-section along a winding axis.3. The device of claim 1 , wherein the at least one sheet of separator material is wound around the mandrel.4. The device of claim 1 , wherein at least one discrete electrode of the two or more ...

Подробнее
19-03-2020 дата публикации

ENERGY STORAGE DEVICE

Номер: US20200091546A1
Автор: Madsen Alex
Принадлежит: Dyson Technology Limited

An energy storage device comprising: a container, a mandrel, at least one sheet of separator material, and two or more electrodes. The container comprises a base and an inner surface forming an internal space. The mandrel is positioned in the container and is spaced apart from the inner surface to define a cavity within the container. The sheet of separator material is arranged about the mandrel to provide a plurality of discrete separator layers within the cavity. At least one electrode is provided between each of the discrete separator layers, and at least a portion of an external surface of a container has a curved profile. 1. An energy storage device comprising:a container comprising a base and an inner surface forming an internal space;a mandrel positioned in the container, wherein the mandrel and the inner surface are spaced apart to define a cavity within the container;at least one sheet of separator material arranged about the mandrel to provide a plurality of discrete separator layers within the cavity; andtwo or more discrete electrodes,wherein at least one discrete electrode of the two or more discrete electrodes occupies space between each of the discrete separator layers, and at least a portion of an external surface of the container has a curved profile.2. The device of claim 1 , wherein the mandrel comprises a first face that is curved.3. The device of claim 2 , wherein the mandrel comprises a second face.4. The device of claim 3 , wherein the second face of the mandrel is curved such that a cross-section of the mandrel has an elliptical shape.5. The device of claim 4 , wherein at least one wall of the container has a concave inner surface which is opposite a curved face of the mandrel.6. The device of claim 5 , wherein the concave inner surface is formed due to the curved profile of the at least a portion of an external surface of the container.7. The device of claim 6 , wherein the mandrel surface is concentric with the curve of the internal surface ...

Подробнее
26-03-2020 дата публикации

Methods and means for azimuthal neutron porosity imaging of formation and cement volumes surrounding a borehole

Номер: US20200096668A1
Принадлежит: Visuray Intech Ltd (BVI)

A first example azimuthal neutron porosity tool for imaging foiniation and cement volumes surrounding a borehole is provided, the tool including at least an internal length comprising a sonde section, wherein said sonde section further comprises one sonde-dependent electronics; a slip-ring and motor section; and a plurality of tool logic electronics and PSUs. An alternative azimuthal neutron porosity tool for imaging formation and cement volumes surrounding a borehole is also provided, the tool including at least a far space detector; a near space detector; and a source located within a moderator shield that rotates around an internal tool axis. 1. An azimuthal neutron porosity tool for imaging of formation and cement volumes surrounding a borehole , said tool comprising:an internal length comprising a sonde section, wherein said sonde section further comprises one or more sonde-dependent electronics;a slip-ring and motor section; anda plurality of tool logic electronics and PSUs.2. The tool of claim 1 , further comprising a multi-azimuthal caliper used to assist in the determination of borehole volume for borehole effect compensation.3. The tool of claim 1 , further comprising a far space detector; a near space detector; and a source located within a moderator shield.4. The tool of claim 3 , wherein said moderator shield further comprises an epoxied boron.5. The tool of claim 3 , wherein said shield further comprises cadmium.6. The tool of claim 1 , further comprising a means for rotation that rotates the toot inside a tool housing being driven by a motor.7. The tool of claim 6 , wherein the tool rotates such that slip rings are disposed at either end so as to permit through-wiring.8. An azimuthal neutron porosity tool for imaging of formation and cement volumes surrounding a borehole claim 6 , said tool comprising:a far space detector;a near space detector;and a source located within a moderator shield that rotates around an internal tool axis.9. The tool of claim ...

Подробнее
02-06-2022 дата публикации

PIVOTABLE DUAL SHOWERHEAD WITH MULTIPLE SPRAY MODES

Номер: US20220167801A1
Принадлежит:

A showerhead includes an inlet configured to receive water from the supply conduit. An inner spray portion is coupled to the inlet. A first spray outlet is coupled to the inner spray portion, and the first spray outlet selectively receives water from the inlet and discharges water from the showerhead in a first discharge direction. An outer spray portion is pivotally coupled to the inner spray portion. A second spray outlet is coupled to the outer spray portion, and the second spray outlet selectively receives water from the inlet and discharges water from the showerhead in a second discharge direction. A third spray outlet is coupled to the outer spray portion, and the third spray outlet selectively receives water from the inlet and discharges water from the showerhead in a third discharge direction. The third discharge direction is substantially opposite the second discharge direction. 1. A showerhead configured to couple to a supply conduit and receive water therefrom , the showerhead comprising:an inlet configured to receive water from the supply conduit;an inner spray portion coupled to the inlet;a first spray outlet coupled to the inner spray portion, the first spray outlet selectively receiving water from the inlet and discharging water from the showerhead in a first discharge direction;an outer spray portion pivotably coupled to the inner spray portion;a second spray outlet coupled to the outer spray portion, the second spray outlet selectively receiving water from the inlet and discharging water from the showerhead in a second discharge direction; anda third spray outlet coupled to the outer spray portion, the third spray outlet selectively receiving water from the inlet and discharging water from the showerhead in a third discharge direction, the third discharge direction being substantially opposite the second discharge direction.2. The showerhead of claim 1 , wherein the outer spray portion comprises a front surface and an opposite rear surface claim 1 , ...

Подробнее
23-04-2015 дата публикации

CMP HEAD STRUCTURE

Номер: US20150111467A1
Автор: Lin Benfu, Lu Wei, See Alex
Принадлежит: GLOBALFOUNDRIES Singapore Pte. Ltd.

A CMP structure for CMP processing and a method of making a device using the same are presented. The apparatus comprises a polishing pad on a platen table; a head assembly for holding a wafer against the polishing pad, wherein the head assembly includes the retaining ring; a sensor for sensing the step height between the retaining ring and its membrane and a controller for adjusting the movement of the retaining ring based on the step height between the retaining ring and its membrane to ensure the step height remains at a fixed value as the retaining ring wears out. 1. A CMP apparatus for prolonging the use of a retaining ring comprising:a polishing pad on a platen table;a head assembly for holding a wafer against the polishing pad, wherein the head assembly includes the retaining ring;a ring monitoring equipment for sensing the step height between the retaining ring and its membrane; anda controller for adjusting the movement of the retaining ring based on the step height between the retaining ring and its membrane to ensure the step height remains at a fixed value as the retaining ring wears out.2. The apparatus of wherein the step height comprises a first dimension and the controller moves the retaining ring to adjust the step height to ensure it remains at the first dimension throughout the retaining ring life span.3. The apparatus of wherein the controller activates a mechanism for adjusting the step height to ensure it remains at the first dimension.4. The apparatus of wherein the mechanism may comprise gears located around the circumference of the retaining ring.5. The apparatus of wherein the gears are set to be in drive mode at fixed intervals before processing takes place.6. The apparatus of wherein the retaining ring comprises unfilled polyphenylene sulfide or unfilled polycarbonate which encapsulates a stainless ring.7. The apparatus of wherein the ring monitoring equipment may comprise of a sensor or a plurality of sensors installed at a head cup load ...

Подробнее
23-04-2015 дата публикации

CMP HEAD STRUCTURE

Номер: US20150111469A1
Принадлежит: GLOBALFOUNDRIES Singapore Pte. Ltd.

A CMP structure for CMP processing and a method of making a device using the same are presented. The apparatus comprises a polishing pad on a platen table, a head assembly for holding a wafer against the polishing pad, wherein the head assembly includes a retaining ring, a sensor for sensing the depth of grooves on the retaining ring and a controller for determining an update pressure to apply to the retaining ring based on the depth of the grooves and applying the updated pressure to the retaining ring during processing. 1. A CMP apparatus for prolonging the use of a retaining ring comprising:a polishing pad on a platen table;a head assembly for holding a wafer against the polishing pad, wherein the head assembly includes the retaining ring;a sensor for sensing the depth of grooves on the retaining ring; anda controller for determining an update pressure to apply to the retaining ring based on the depth of the grooves and applying the updated pressure to the retaining ring during processing.2. The apparatus of wherein the controller comprises a process controller for monitoring the groove depth and controlling the pressure exerted by the retaining ring.3. The apparatus of claim 1 , wherein the process controller receives the measurement of the groove depths from the sensor in the form of a digital signal.4. The apparatus of wherein the process controller will calculate a recommended ring pressure based on groove depth data received from the sensor and send the recommended ring pressure data to an upper pneumatic-assembly (UPA).5. The apparatus of wherein the UPA will supply the recommended ring pressure data to a head assembly.6. The apparatus of wherein the head assembly will apply the recommended ring pressure to the retaining ring during processing.7. The apparatus of wherein the recommended ring pressure applied by the head assembly to the retaining ring will become less as the retaining ring ages.8. The apparatus of wherein the process controller will ...

Подробнее
26-03-2020 дата публикации

ENERGY STORAGE DEVICE

Номер: US20200099086A1
Автор: Madsen Alex
Принадлежит: Dyson Technology Limited

An energy storage device comprising a container, a mandrel, at least one sheet of separator material, and two or more electrodes. The container comprises an inner surface. The mandrel comprises a mandrel surface, and is positioned within the container so that the mandrel surface is spaced apart from the inner surface to define a cavity within the container. The container has a packing axis that passes through the cavity, the mandrel surface, and the inner surface. The mandrel is compressible in the direction of the packing axis, the at least one sheet of separator material is arranged in the cavity to provide a plurality of separator layers along the packing axis, and an electrode is provided between the separator layers. 1. An energy storage device comprising:a container comprising a first inner surface;a mandrel comprising a first mandrel surface and positioned within the container so that the mandrel surface is spaced apart from the inner surface, defining a first cell cavity within the container;at least one sheet of separator material; andtwo or more discrete electrodes,wherein the container has a packing axis that passes through the first cell cavity, the mandrel surface, and the first inner surface, andwherein the mandrel is compressible in the direction of the packing axis, the at least one sheet of separator material is arranged in the first cell cavity to provide a first plurality of separator layers along the packing axis, and a discrete electrode of the plurality of discrete electrodes occupies the space between each separator layer of the first plurality of separator layers.2. The device of claim 1 , wherein the two or more discrete electrodes comprise two or more discrete positive electrodes and two or more discrete negative electrodes.3. The device of claim 1 , wherein the first mandrel surface is curved.4. The device of claim 3 , comprising a second mandrel surface.5. The device claim 4 , wherein the second mandrel surface is curved such that the ...

Подробнее
28-04-2016 дата публикации

UNIFORM POLISHING WITH FIXED ABRASIVE PAD

Номер: US20160114457A1
Принадлежит:

A polishing pad for use in chemical mechanical polishing of a substrate is disclosed. The polishing pad includes first and second major surfaces. The first major surface forms a polishing surface and is divided into a main portion and edge portions. The edge portions are nearer to edges of the polishing pad while the main portion is between the edge portions and farther from the edges of the polishing pad. The polishing pad also includes a plurality of polishing posts disposed on the first major surface of the pad. The densities of the polishing posts in the edge portions and main portion are different. 19-. (canceled)10. A method of forming a device comprising:providing a wafer substrate with a dielectric layer having topography; first and second major surfaces, wherein the first major surface forms a polishing surface and is divided into a main portion and edge portions, wherein the edge portions are nearer to edges of the polishing pad while the main portion is between the edge portions and farther from the edges of the polishing pad, and', 'a plurality of polishing posts disposed on the first major surface of the pad, wherein densities of the polishing posts in the edge portions and main portion are different; and, 'polishing the wafer substrate having the topography on a polishing pad which comprises'}performing front end of line and back end of line processes to complete forming the device.11. The method of wherein polishing the wafer substrate comprises translating the wafer substrate on the polishing surface by swinging the wafer substrate claim 10 , wherein swing speed and swing distance of the wafer substrate across the polishing pad is defined to produce a desired polishing profile.12. The method of wherein the swing speed and swing distance are defined such that dwell time of the wafer substrate at different zones on the polishing pad is individually controlled.13. The method of wherein the densities of the polishing posts in the edge portions are the ...

Подробнее
28-04-2016 дата публикации

PLANAR PASSIVATION FOR PADS

Номер: US20160118355A1
Принадлежит:

Devices and methods for forming a device are presented. The method includes providing a substrate having circuit component and a dielectric layer over the substrate. The dielectric layer includes a plurality of inter level dielectric (ILD) layers and the uppermost dielectric layer includes at least one interconnect. A pad dielectric layer is provided over the uppermost ILD layer. A pad interconnect for receiving a wire bond is formed in the pad dielectric layer. The pad interconnect is coupled to the at least one interconnect of the uppermost ILD layer. A top surface of the pad dielectric layer is substantially coplanar with a top surface of the pad interconnect. A passivation layer is formed over the pad dielectric layer. 1. A device comprising:a substrate having circuit component and a dielectric layer over the substrate, wherein the dielectric layer comprises a plurality of inter level dielectric (ILD) layers and the uppermost dielectric layer comprises at least one interconnect;a pad dielectric layer disposed over the uppermost ILD layer;a pad interconnect which is a wire bond pad configured for receiving a wire bond disposed in the pad dielectric layer and a top surface of the pad interconnect is exposed such that the wire bond is to be attached and in direct contact thereto, wherein the pad interconnect is coupled to the at least one interconnect of the uppermost ILD layer, wherein a top surface of the pad dielectric layer is substantially coplanar with a top surface of the pad interconnect; anda passivation layer disposed over and in direct contact with the pad dielectric layer, wherein the passivation layer is used for preventing a top surface of the pad interconnect from oxidation/corrosion and contamination during wire bonding and packaging process.2. The device of wherein the top surface of the pad dielectric layer is coplanar with the top surface of the pad interconnect.3. The device of wherein the top surface of the pad dielectric layer is slightly ...

Подробнее
07-05-2015 дата публикации

Dual Closed-Ended Zippers Mechanism Reusable Fastener

Номер: US20150121660A1
Автор: Alex S. Li
Принадлежит: Individual

A reusable fastener that secures the zippers of a dual closed-ended zippers mechanism in the mechanism's closing position. The reusable fastener secures products that are dependent on the dual closed-ended zippers mechanism for actions of opening and closing from being unintentionally opened. While able to secure zippers of the dual closed-ended zippers mechanism in the closing position, the reusable fastener can also be easily detached. Additionally, the detachment process will not destroy the reusable fastener, enabling the reusable fastener to be reused. Furthermore, since no personally-tailored knowledge or lock-and-key is necessary to detach the reusable fastener, public and safety officials can securely reattach the reusable fastener to the zippers after inspections. The reusable fastener contains a plate that will make the reusable fastener easily identifiable. These advantages of the reusable fastener will provide users of products containing the dual closed-ended zippers mechanism a peace of mind.

Подробнее
03-05-2018 дата публикации

Methods and means for azimuthal neutron porosity imaging of formation and cement volumes surrounding a borehole

Номер: US20180120474A1
Принадлежит:

A first example azimuthal neutron porosity tool for imaging formation and cement volumes surrounding a borehole is provided, the tool including at least an internal length comprising a sonde section, wherein said sonde section further comprises one sonde-dependent electronics; a slip-ring and motor section; and a plurality of tool logic electronics and PSUs. An alternative azimuthal neutron porosity tool for imaging formation and cement volumes surrounding a borehole is also provided, the tool including at least a far space detector, a near space detector; and a source located within a moderator shield that rotates around an internal tool axis. 1. An azimuthal neutron porosity tool for imaging of formation and cement volumes surrounding a borehole , said tool comprising:an internal length comprising a sonde section, wherein said sonde section further comprises one or more sonde-dependent electronics;a slip-ring and motor section; anda plurality of tool logic electronics and PSUs.2. The tool of claim 1 , further comprising a multi-azimuthal caliper used to assist in the determination of borehole volume for borehole effect compensation.3. The tool of claim 1 , further comprising a far space detector claim 1 , a near space detector claim 1 , and a source located within a moderator shield.4. The tool of claim 3 , wherein said moderator shield further comprises an epoxied boron.5. The tool of claim 3 , wherein said shield further comprises cadmium.6. The tool of claim 1 , further comprising a means for rotation that rotates the tool inside a tool housing being driven by a motor.7. The tool of claim 6 , wherein the tool rotates such that slip rings are disposed at either end so as to permit through-wiring.8. An azimuthal neutron porosity tool for imaging of formation and cement volumes surrounding a borehole claim 6 , said tool comprising:a far space detector,a near space detector;and a source located within a moderator shield that rotates around an internal tool axis.9. ...

Подробнее
12-05-2016 дата публикации

METHODS FOR FABRICATING INTEGRATED CIRCUITS WITH IMPROVED ACTIVE REGIONS

Номер: US20160133524A1
Принадлежит:

Methods for fabricating integrated circuits having improved active regions are provided. In an embodiment, a method for fabricating an integrated circuit includes providing a semiconductor substrate having an upper surface and including active regions and isolation regions formed in a low voltage device area and in a high voltage device area. The method includes selectively forming voids between the isolation regions and the active regions in the high voltage device area to expose active side surfaces. The method further includes oxidizing the upper surface and the active side surfaces to form a gate oxide layer over the low voltage device area and the high voltage device area. 1. A method for fabricating an integrated circuit , the method comprising:providing a semiconductor substrate having an upper surface and including active regions and isolation regions formed in a low voltage device area and in a high voltage device area;selectively forming voids between the isolation regions and the active regions in the high voltage device area to expose active side surfaces; andoxidizing the upper surface and the active side surfaces to form a gate oxide layer over the low voltage device area and the high voltage device area.2. The method of wherein selectively forming voids between the isolation regions and the active regions in the high voltage device area comprises masking the low voltage device area while etching the voids in the high voltage device area.3. The method of further comprising:forming doped regions in the high voltage device area; andforming doped regions in the low voltage device area.4. The method of wherein: forming a first mask covering the low voltage device area and partially covering the high voltage device area to define first exposed portions of the high voltage device area;', 'forming the doped regions in the first exposed portions;', 'removing the first mask;', 'forming a second mask covering the low voltage device area and partially covering ...

Подробнее
19-05-2016 дата публикации

CMP HEAD STRUCTURE

Номер: US20160136774A1
Принадлежит:

A CMP structure for CMP processing and a method of making a device using the same are presented. The apparatus comprises a polishing pad on a platen table, a head assembly for holding a wafer against the polishing pad, wherein the head assembly includes a retaining ring, a sensor for sensing the depth of grooves on the retaining ring and a controller for determining an update pressure to apply to the retaining ring based on the depth of the grooves and applying the updated pressure to the retaining ring during processing. 1. A CMP apparatus comprising:a polishing pad on a platen table;a head assembly, wherein the head assembly includes a retaining ring for holding a wafer in place on the polishing pad;a sensor configured for determining a depth of grooves on the retaining ring based on a gap between a membrane of the retaining ring and a side of the retaining ring which correlates to the depth of the grooves; anda controller configured for calculating an updated pressure to be applied to the retaining ring based on the depth of the grooves and applying the updated pressure to the retaining ring during processing.2. The apparatus of wherein the controller comprises a process controller for monitoring the groove depth and controlling the pressure exerted by the retaining ring.3. The apparatus of claim 1 , wherein the process controller receives the measurement of the depth of the grooves from the sensor in the form of a digital signal.4. The apparatus of wherein the process controller calculates the updated pressure based on groove depth data received from the sensor and sends the updated pressure data to an upper pneumatic-assembly (UPA).5. The apparatus of wherein the UPA supplies the updated pressure data to the head assembly.6. The apparatus of wherein the head assembly applies the updated pressure to the retaining ring during processing.7. The apparatus of wherein the updated pressure applied by the head assembly to the retaining ring during processing will ...

Подробнее
19-05-2016 дата публикации

CMP HEAD STRUCTURE

Номер: US20160136781A1
Автор: Lin Benfu, Lu Wei, See Alex
Принадлежит:

A CMP structure for CMP processing and a method of making a device using the same are presented. The apparatus comprises a polishing pad on a platen table; a head assembly for holding a wafer against the polishing pad, wherein the head assembly includes the retaining ring; a sensor for sensing the step height between the retaining ring and its membrane and a controller for adjusting the movement of the retaining ring based on the step height between the retaining ring and its membrane to ensure the step height remains at a fixed value as the retaining ring wears out. 1. A CMP apparatus comprising:a polishing pad on a platen table;a head assembly, wherein the head assembly includes a retaining ring for holding a wafer in place on the polishing pad;a ring monitoring equipment configured for sensing and determining a step height between the retaining ring and a membrane of the retaining ring;a controller configured for calculating how much the retaining ring should be moved to ensure the step height between the retaining ring and the membrane remains at a fixed value; anda mechanism configured to move the retaining ring to ensure the step height remains at the fixed value as the retaining ring wears out.2. The apparatus of wherein the step height comprises a first dimension and the controller moves the retaining ring to adjust the step height to ensure it remains at the first dimension throughout the retaining ring life span.3. The apparatus of wherein the controller activates the mechanism for adjusting the step height to ensure it remains at the first dimension.4. The apparatus of wherein the mechanism comprises gears located around the circumference of the retaining ring.5. The apparatus of wherein the gears are set to be in drive mode at fixed intervals before processing takes place.6. The apparatus of wherein the retaining ring comprises unfilled polyphenylene sulfide or unfilled polycarbonate which encapsulates a stainless steel ring.7. The apparatus of wherein ...

Подробнее
03-06-2021 дата публикации

CONNECTION STRUCTURE OF SHOWER COLUMN SYSTEM

Номер: US20210164201A1
Принадлежит: Purity (Xiamen) Sanitary Ware Co., Ltd.

A connection structure of shower column systems includes fixing member, shower column body having containing cavity, connecting pipe communicating with water supply pipe, and hose in the containing cavity. The shower column body includes installation part having movement channel communicating with the containing cavity; the installation part is installed on the fixing member; the connecting pipe is in wall, an end thereof passes the movement channel to move axially; the hose communicates with water inlet of the shower column body and passes the movement channel to communicate with the connecting pipe. Flexible connection through the hose and connecting pipe makes more adjustment room than rigid connection. Distance between the connecting pipe and shower column body is adjusted by moving the connecting pipe in the movement channel. System adjustment and installation are quickly completed according to different depths of water supply pipes. Sealing performance of products wouldn't be influenced during adjusting. 1. A connection structure of a shower column system , comprising:a fixing member which is provided on a wall;a shower column body which has a containing cavity and further comprises an installation part, wherein the installation part has a movement channel which communicates with the containing cavity; a top end of the installation part is installed on the fixing member;a connecting pipe which has one end communicating with a water supply pipe, wherein the connecting pipe is provided in the wall; another end of the connecting pipe passes in the movement channel to axially move within the movement channel; anda hose which is located in the containing cavity, wherein the hose has one end which communicates with a water inlet of the shower column body; the hose further has another end which passes in the movement channel to communicate with the another end of the connecting pipe.2. The connection structure of claim 1 , wherein the connecting pipe and the movement ...

Подробнее
21-08-2014 дата публикации

STI CMP UNDER POLISH MONITORING

Номер: US20140234993A1
Принадлежит: GLOBALFOUNDRIES Singapore Pte. Ltd.

Methods of deducing oxide thickness using calculated and measured scattering spectra are provided. Embodiments include depositing an oxide over a semiconductor wafer, reducing the oxide from a portion of the semiconductor wafer, and deducing a thickness of oxide remaining at a location within the portion using scatterometric metrology. Embodiments further include deducing the thickness by: calculating scattering spectra for a plurality of oxide thicknesses, producing calculated scattering spectra, monitoring scattering spectra at the location within the portion of the semiconductor wafer, comparing the monitored scattering spectra at the location to the calculated scattering spectra, determining a closest matching calculated scattering spectra to the monitored scattering spectra at the location, and obtaining an oxide thickness corresponding to the closest matching calculated scattering spectra. 1. A method comprising:depositing an oxide over a semiconductor wafer;reducing the oxide from a portion of the semiconductor wafer by performing chemical mechanical polishing (CMP) above a pad nitride layer proximate a shallow trench isolation (STI) region; anddeducing a thickness of oxide remaining at a location within the portion using scatterometric metrology.2. The method according to claim 1 , comprising deducing the thickness by:calculating scattering spectra for a plurality of oxide thicknesses, producing calculated scattering spectra;monitoring scattering spectra at the location within the portion of the semiconductor wafer;comparing the monitored scattering spectra at the location to the calculated scattering spectra;determining a closest matching calculated scattering spectra to the monitored scattering spectra at the location; andobtaining an oxide thickness corresponding to the closest matching calculated scattering spectra.3. (canceled)4. The method according to claim 1 , wherein the oxide comprises a high aspect ratio process (HARP) oxide.5. The method ...

Подробнее
15-09-2022 дата публикации

CUSTOMIZED ROUTE TRACKING

Номер: US20220292978A1
Принадлежит:

Disclosed are systems, methods, and non-transitory computer-readable media for automated fleet tracking. A route management system enables fleet managers to define and assign routes for vehicles in a fleet, as well as set route tracking configurations for customized tracking of the vehicles. For example, the route tracking configuration may include customizations to the scheduled start and/or end time of a route, a threshold for determining that a vehicle has arrived and/or departed from a scheduled stop, and the like. 1. (canceled)2. A method comprising:generating a first route tracking report for a first vehicle based on a first tracking customization to a set of route variables for tracking vehicles associated with a first account;generating a second route tracking report for a second vehicle based on a second tracking customization to the set of route variables for tracking vehicles associated with a second account, the second tracking customization being different than the first tracking customization;causing presentation of the first route tracking report on a first client device associated with the first account; andcausing presentation of the second route tracking report on a second client device associated with the second account.3. The method of claim 2 , further comprising:receiving first sensor data describing movement of the first vehicle and second sensor data describing movement of the second vehicle, wherein the first route tracking report is generated based on the first sensor data and the second route tracking report is generated based on the second sensor data.4. The method of claim 2 , wherein the set of route variables includes a start time and an end time defining a scheduled route window for a first route to be traveled by the first vehicle claim 2 , and the first tracking customization includes a modification to the start time or the end time for the first route.5. The method of claim 4 , further comprising:generating a route tracking window ...

Подробнее
22-09-2022 дата публикации

Method for Combining the Results of Ultrasound and X-Ray and Neutron Cement Evaluation Logs Through Modality Merging

Номер: US20220299669A1
Принадлежит:

A combining mechanism for borehole logging tool data that employs modality merging to combine the output data of various borehole logging tools to provide a combined result and automated interpretation is provided, said mechanism comprising: at least one mechanism for assigning interpretive values to individual processed data types; at least one mechanism for combining the interpretive value data sets; and, at least one mechanism for providing an interpretation. A method of combining borehole logging tool data that employs modality merging to combine the output data of various borehole logging tools to provide a combined result and automated interpretation is also provided, said method comprising: assigning interpretive values to individual processed data types; combining the interpretive value data sets; and, providing an interpretation. 1. A combining mechanism for borehole logging tool data that employs modality merging to combine the output data of various borehole logging tools to provide a combined result and automated interpretation , comprising:at least one mechanism for assigning interpretive values to individual processed data types;at least one mechanism for combining the interpretive value data sets; andat least one mechanism for providing an interpretation.2. The combining mechanism of claim 1 , wherein said mechanism is configured to process ultrasound or acoustic data from a borehole logging tool.3. The combining mechanism of claim 1 , wherein said mechanism is configured to process x-ray data from a borehole logging tool.4. The combining mechanism of claim 1 , wherein said mechanism is configured to process neutron porosity data from a borehole logging tool.5. The combining mechanism of claim 1 , wherein said mechanism is configured to process neutron activation data from a borehole logging tool.6. The combining mechanism for borehole logging tool data of claim 1 , wherein said borehole tool is a wireline-based tool.7. The combining mechanism for ...

Подробнее
22-09-2022 дата публикации

Real-Time Output Correction of Detector Outputs Resulting from Azimuthal X-Ray Source Variations Using Monitoring Detectors

Номер: US20220299673A1
Принадлежит:

A measurement compensation mechanism for an electronic radiation source-based borehole logging tool that compensates for geometric variations in the direction output of an x-ray source is provided, the measurement compensation system including: at least one electronic radiation source; at least one radiation shield; at least three reference detectors; and at least one borehole measuring radiation detector. A method of compensating the measurement of an electronic radiation source-based borehole logging tool that compensates for geometric variations in the direction output of an x-ray source is also provided, the method including at least: measuring an azimuthal distribution of radiation intensities equidistant from an electronic radiation source in order to correct a measured radiation value of a borehole-measuring radiation detector relative to the borehole-measuring radiation detector's azimuthal measurement direction. 1. A measurement compensation mechanism for an electronic radiation source-based borehole logging tool that compensates for geometric variations in a plurality of azimuthal distributions of radiation intensities of an x-ray source target ,wherein said mechanism is configured to use resulting measurements from a plurality of measured azimuthal distributions of radiation intensities equidistant from an electronic radiation source to correct for geometric variations in the location of ion-beam; upon target anode of an electronic x-ray source target output intensity that affect a borehole-measuring radiation detector relative to said borehole-measuring radiation detector's azimuthal measurement direction; andwherein said mechanism further comprises at least one radiation shield configured to permit electronic x-ray radiation to emit in at least three azimuthal directions from the source target; at least three reference detectors aligned with said directions; and at least one borehole measuring radiation detector.2. A method compensating the measurement ...

Подробнее
01-07-2021 дата публикации

SHOWER HEAD FIXTURE

Номер: US20210198877A1
Принадлежит: Purity (Xiamen) Sanitary Ware Co., Ltd.

A shower head fixture is provided, which relates generally to bath installations and includes a fixing assembly and a shower assembly. The fixing assembly includes a global head seat and a fixing holder, wherein the global head seat is movably provided on the fixing holder through a global head connection mechanism. The global head seat has an embedded portion; the shower assembly has a clasp portion which is fastened to the embedded portion. The shower head fixture can not only be quickly fixed and detached, but be adjusted up and down according to the required height of users. 1. A shower head fixture comprising:a fixing assembly comprising a global head seat and a fixing holder, wherein the global head seat is provided on the fixing holder through a global head connection mechanism the global head seat has an embedded portion; anda shower assembly having a clasp portion, wherein the clasp portion and the embedded portion are fastened to each other.2. The shower head fixture of claim 1 , wherein the global head connection mechanism comprises a global head claim 1 , a locking chamber claim 1 , a locking member claim 1 , and a friction member; the global head is provided on the global head seat; the locking chamber is provided in the fixing holder; the global head is movably provided in the locking chamber; the locking member and the friction member are both provided in the locking chamber; the global head is tightly locked by the locking member claim 1 , which prevents the global head from falling off the locking chamber; the global head and friction member coordinate closely with friction.3. The shower head fixture of claim 1 , wherein the clasp portion is a semicircular clasp structure claim 1 , and an insertion opening is provided at a bottom of the clasp portion; the embedded portion is a circular plate structure and is corresponding to the clasp portion; the embedded portion is inserted through the insertion opening to be embedded and clasped in the clasp ...

Подробнее
18-09-2014 дата публикации

THROUGH SILICON VIAS

Номер: US20140264911A1
Принадлежит: GLOBALFOUNDRIES Singapore Pte. Ltd.

A device and methods for forming a device are disclosed. A substrate is provided and a TSV is formed in the substrate through a top surface of the substrate. The TSV and top surface of the substrate is lined with an insulation stack having a first insulation layer, a polish stop layer and a second insulation layer. A conductive layer is formed on the substrate. The TSV is filled with conductive material of the conductive layer. The substrate is planarized to remove excess conductive material of the conductive layer. The planarizing stops on the polish stop layer to form a planar top surface. 1. A method of forming a device comprising:providing a substrate with top and bottom surfaces;forming a through silicon via (TSV) in the substrate through the top surface;lining the TSV and the top surface of the substrate with an insulation stack having a first insulation layer, a polish stop layer and a second insulation layer;forming a conductive layer on the substrate, the conductive layer filling the TSV; andplanarizing the substrate to remove excess conductive material of the conductive layer, wherein the planarizing stops on the polish stop layer to form a planar top surface.2. The method of wherein lining the TSV and the top surface of the substrate with the insulation stack comprises sequentially forming the first insulation layer on the substrate claim 1 , the polish stop layer over the first insulation layer and the second insulation layer over the polish stop layer.3. The method of wherein the first and second insulation layers comprises silicon oxide and the polish stop layer comprises silicon nitride.4. The method of wherein the substrate includes a device layer prior to forming the TSV.5. The method of wherein the device layer comprises an interlevel dielectric layer (ILD).6. The method of wherein the device layer comprises a top layer of the device.7. The method of comprises forming an interposer layer for connecting the TSV to a contact pad of the device.8. The ...

Подробнее
02-07-2015 дата публикации

INTEGRATED CIRCUITS WITH IMPROVED GAP FILL DIELECTRIC AND METHODS FOR FABRICATING SAME

Номер: US20150187641A1
Принадлежит: GLOBALFOUNDRIES Singapore Pte. Ltd.

Integrated circuits with reduced shorting and methods for fabricating such integrated circuits are provided. In an embodiment, a method for fabricating an integrated circuit includes depositing a gap fill dielectric overlying a semiconductor substrate. The gap fill dielectric is formed with an upper surface having a height differential. The method includes reducing the height differential of the upper surface of the gap fill dielectric. Further, the method includes depositing an interlayer dielectric overlying the gap fill dielectric. Also, the method forms an electrical contact to a selected location overlying the semiconductor substrate. 1. A method for fabricating an integrated circuit , the method comprising:depositing a gap fill dielectric overlying a semiconductor substrate, wherein the gap fill dielectric is formed with a raised portion and a base portion and with an upper surface having a height differential between the raised portion and the base portion;reducing the height differential of the upper surface of the gap fill dielectric by recessing the raised portion, wherein the base portion is not recessed, and wherein the upper surface of the gap fill dielectric remains non-planar after reducing the height differential;depositing an interlayer dielectric overlying the gap fill dielectric; andforming an electrical contact to a selected location overlying the semiconductor substrate.2. The method of wherein:depositing a gap fill dielectric overlying a semiconductor substrate comprises depositing silicon oxide via a high aspect ratio polymer (HARP) process.3. The method of wherein depositing an interlayer dielectric overlying the gap fill dielectric comprises depositing silicon oxide via a plasma-deposition process using tetraethoxysilane (TEOS).4. (canceled)5. The method of wherein:reducing the height differential of the upper surface of the gap fill dielectric comprises planarizing the raised portion, wherein the base portion is not planarized.6. The method ...

Подробнее
28-06-2018 дата публикации

Detecting Anomalies in Annular Materials of Single and Dual Casing String Environments

Номер: US20180180765A1
Принадлежит:

An x-ray based cement evaluation tool for measurement of the density of material volumes within single, dual and multiple-casing wellbore environments is provided, the tool including at least an internal length -comprising a sonde section, wherein said sonde section further comprises an x-ray source; a radiation shield for radiation measuring detectors; sonde-dependent electronics; and a plurality of tool logic electronics and PSUs, wherein the tool uses x-rays to illuminate the formation surrounding a borehole and a plurality of detectors are used to directly measure the density of the cement annuli and any variations in density within. Detectors used to measure casing standoff such that other detector responses are compensated for tool stand-off and centralization; a plurality of reference detectors is used to monitor the output of the x-ray source, and a shortest-axial offset detector is configured to distribute incoming photons into energy classifications such that photoelectric measurements may be made. 1. An x-ray based cement evaluation tool for measurement of the density of material volumes within single , dual and multiple-casing wellbore environments wherein said tool comprises:an internal length comprising a sonde section, wherein said sonde section further comprises an x-ray source; a radiation shield for radiation measuring detectors; sonde-dependent electronics; and a plurality of tool logic electronics and PSUs,wherein the tool uses x-rays to illuminate the formation surrounding a borehole and a plurality of detectors are used to directly measure the density of the cement annuli and any variations in density within.2. The tool of claim 1 , further comprising a detector that is used to measure casing standoff such that other detector responses may be compensated for tool stand-off and centralization.3. The tool of claim 1 , wherein said shield further comprises tungsten.4. The tool of claim 1 , wherein the tool is configured so as to permit through- ...

Подробнее
30-06-2016 дата публикации

THROUGH SILICON VIAS

Номер: US20160190066A1
Принадлежит:

A device and methods for forming a device are disclosed. A substrate is provided and a TSV is formed in the substrate through a top surface of the substrate. The TSV and top surface of the substrate is lined with an insulation stack having a first insulation layer, a polish stop layer and a second insulation layer. A conductive layer is formed on the substrate. The TSV is filled with conductive material of the conductive layer. The substrate is planarized to remove excess conductive material of the conductive layer. The planarizing stops on the polish stop layer to form a planar top surface. 1. A device comprising:a substrate with top and bottom surfaces;a through silicon via (TSV) in the substrate, the TSV extends through top and bottom surfaces of the substrate;an insulation stack disposed in the TSV, the insulation stack lines the sidewalls of the TSV and comprises a first insulation layer, a polish stop layer and a second insulation layer, wherein the polish stop layer lines the first insulation layer and the second insulation layer lines the polish stop layer in the TSV, the first insulation layer and the polish stop layer further extends over the top surface of the substrate and continuously line the top surface, wherein the polish stop layer is disposed over the first insulation layer; anda conductive plug disposed in the TSV and fills the TSV having the insulation stack lining the sidewall, wherein a top surface of the conductive plug in the TSV is coplanar with a top surface of the polish stop layer over the top surface of the substrate, the polish stop layer serves as a polish stop for a planarizing process.2. The device of wherein a bottom surface of the conductive plug is coplanar with the bottom surface of the substrate.3. The device of wherein the first and second insulation layers comprise silicon oxide and the polish stop layer comprises silicon nitride.4. The device of wherein the substrate includes a device layer disposed on the top surface of the ...

Подробнее
28-06-2018 дата публикации

INTEGRATED TWO-TERMINAL DEVICE WITH LOGIC DEVICE FOR EMBEDDED APPLICATION

Номер: US20180182810A1
Принадлежит:

Devices and methods of forming a device are disclosed. The method includes providing a substrate and a first upper dielectric layer over first and second regions of the substrate. The first upper dielectric layer includes a first upper interconnect level with a plurality of metal lines in the regions. A two-terminal device element which includes a device layer coupled in between first and second terminals is formed over the first upper dielectric layer in the second region. The first terminal contacts the metal line in the first upper interconnect level of the second region and the second terminal is formed on the device layer. An encapsulation liner covers at least exposed side surfaces of the device layer of the two-terminal device element. A dielectric layer which includes a second upper interconnect level with dual damascene interconnects is provided in the regions. The dual damascene interconnect in the first region is coupled to the metal line in the first region and the dual damascene interconnect in the second region is coupled to the two-terminal device element. 1. A method of forming a device comprising:providing a substrate defined with at least first and second regions;providing a first upper dielectric layer over the first and second regions of the substrate, wherein the first upper dielectric layer comprises a first upper interconnect level with a plurality of metal lines in the first and second regions;forming a two-terminal device element in the second region, wherein the two-terminal device element comprises a device layer coupled in between first and second terminals over the first upper dielectric layer, wherein the first terminal is in direct contact with the metal line in the first upper interconnect level of the second region and the second terminal is formed on a top surface of the device layer;forming an encapsulation liner to cover at least exposed side surfaces of the device layer of the two-terminal device element; andproviding a ...

Подробнее
05-07-2018 дата публикации

METHODS FOR REMOVAL OF HARD MASK

Номер: US20180190537A1
Принадлежит:

Embodiments of a method of processing semiconductor devices are presented. The method includes providing a substrate prepared with isolation regions having a non-planar surface topology. The substrate includes at least first and second regions. The first region includes a memory region and the second region includes a logic region. A hard mask layer is formed covering the substrate and the isolation regions with non-planar surface topology. The method includes selectively processing an exposed portion of the hard mask layer over a select region while protecting a portion of the hard mask layer over a non-select region. The top substrate area and isolation regions of the non-select region are not exposed during processing of the portion of the hard mask layer over the select region. Hard mask residue is completely removed over the select region during processing of the exposed portion of the hard mask layer. 1. A method of forming a device comprising:providing a substrate prepared with isolation regions having a non-planar surface topology, wherein the substrate comprises at least first and second regions, the first region comprises a memory region and the second region comprises a logic region;forming a hard mask layer covering the substrate and the isolation regions with non-planar surface topology; andselectively processing exposed portion of the hard mask layer over a select region while protecting portion of the hard mask layer over a non-select region, wherein the select region is one of the first and second device regions, and wherein top substrate area and isolation regions of the non-select region are not exposed during processing of portion of the hard mask layer over the select region while completely removing hard mask residue over the select region during processing of the exposed portion of the hard mask layer.2. The method of wherein the select region is the memory region and the non-select region is the logic region.3. The method of wherein the ...

Подробнее
13-07-2017 дата публикации

REVERSIBLE NON-HANDED LATCH

Номер: US20170198501A1
Принадлежит:

A latch assembly with a first mounting plate and a second mounting plate. The assembly includes a first latch holder extending from the first mounting plate. A second latch holder is provided that extends from the second mounting plate. The assembly includes a latch arm including a first portion and a second portion. The latch arm is movable between an unlatched position and a latched position and is selectively reversible to be pivotally connected to either the first latch holder or the second latch holder. 1. A latch assembly comprising:a first mounting plate;a second mounting plate;a first latch holder extending from the first mounting plate;a second latch holder extending from the second mounting plate;a latch arm movable between an unlatched position and a latched position; andwherein the latch arm is selectively reversible to be pivotally connected to either the first latch holder or the second latch holder.2. The latch assembly of claim 1 , wherein the latch arm includes a first portion and a second portion claim 1 , wherein the latch arm is selectively reversibly such that: (1) the first portion is pivotally connected to the first latch holder while the second portion is proximate the second latch holder when the latch arm is in the latched position; and (2) the first portion is pivotally connected to the second latch holder while the second portion is proximate first latch holder when the latch arm is in the latched position.3. The latch assembly of claim 1 , wherein the first latch holder includes a wall spaced apart from and approximately parallel with the first mounting plate and the latch arm is configured to be pivotally connected to the wall of the first latch holder and/or the first mounting plate.4. The latch assembly of claim 3 , wherein the second latch holder includes a wall spaced apart from and approximately parallel with the second mounting plate and the latch arm is configured to be pivotally connected to the wall of the second latch holder ...

Подробнее
27-06-2019 дата публикации

Micro Moulding Machine and Process

Номер: US20190193312A1
Автор: Baklund Jon, Madsen Alex

A micro moulding machine and process for forming small plastic parts for the medical device industry. The machine adds heat in two steps to a precision sized plastic pellet and then displaces the entire pellet volume into the mould cavity. A substantial amount of heat is added to the pellet by forcing it through an orifice very near the gate of the mould. The pneumatic pressure to drive the pellet through the orifice is controlled to regulate the amount of heat introduced into the pellet. 1. A moulding machine comprising;an injection nozzle containing an elongate cylindrical cavity defining an nozzle injection path and having a diameter;a cylindrical injection pin having a diameter matching said cylindrical cavity, adapted to translate along said nozzle injection path;a material loader for placing a cylindrical pellet into said nozzle injection path; forming a loaded pellet; said loaded pellet having a cylindrical shape and a fixed volume, said cylindrical pellet in contact with said cylindrical cavity;a set of nozzle heaters connected to said nozzle for supplying heat to said injection nozzle;a backer plate coupled to said injection nozzle, said backer plate having a backer plate orifice aligned with said nozzle injection path;a mould positioned immediately adjacent to said backer plate, said mould defining a mould cavity equal in volume to said cylindrical pellet, said mould having an opening aligned with said backer plate orifice, said opening being smaller in diameter than the diameter of the cylindrical cavity, said opening forming a mould injection path, such that mechanical motion of said pellet though said opening adds heat to said pellet thereby liquefying said pellet, forming a liquefied pellet;said backer plate in thermal contact with nozzle and said nozzle in thermal contact with said mould establishing a thermal gradient along said injection paths resulting in said mould being cooler than said nozzle.2. The machine of claim 1 , wherein the cylindrical ...

Подробнее
27-06-2019 дата публикации

Methods and Means for the Measurement of Tubing, Casing, Perforation and Sand-Screen Imaging Using Backscattered X-ray Radiation in a Wellbore Environment

Номер: US20190195813A1
Принадлежит:

An x-ray-based cased wellbore tubing and casing imaging tool is disclosed, the tool including at least a shield to define the output form of the produced x-rays; a two-dimensional per-pixel collimated imaging detector array; a parallel hole collimator format in one direction that is formed as a pinhole in another direction; Sonde-dependent electronics; and a plurality of tool logic electronics and PSUs. A method of using an x-ray-based cased wellbore tubing and casing imaging tool is also disclosed, the method including at least: producing x-rays in a shaped output; measuring the intensity of backscatter x-rays returning from materials surrounding a wellbore; determining an inner and an outer diameter of tubing or casing from the backscatter x-rays; and converting image data from said detectors into consolidated images of the tubing or casing. 1. An x-ray-based cased wellbore tubing and casing imaging tool , said tool comprising:a shield to define the output form of the produced x-rays;a two-dimensional per-pixel collimated imaging detector array;a parallel hole collimator format in one direction that is formed as a pinhole in another direction;Sonde-dependent electronics; anda plurality of tool logic electronics and PSUs.2. The tool of claim 1 , wherein said imaging detector comprises a two-dimensional per-pixel collimated imaging detector arrays claim 1 , wherein the imaging array is one pixel wide and multiple pixels long.3. The tool of claim 1 , wherein said imaging detectors comprise two sets of two-dimensional per-pixel collimated imaging detector arrays.4. The tool of claim 1 , wherein said imaging detectors comprise a plurality of two-dimensional per-pixel collimated imaging detector arrays.5. The tool of claim 1 , wherein the images contain spectral information to inform characteristics of any wellbore materials or debris.6. The tool of claim 1 , wherein said shield further comprises tungsten.7. The tool of claim 1 , wherein the tool is configured so as to ...

Подробнее
04-07-2019 дата публикации

METHOD AND DEVICE FOR REDUCING CONTAMINATION FOR RELIABLE BOND PADS

Номер: US20190206676A1
Принадлежит:

The present disclosure generally relates to methods for cleaning the backside of a wafer. A wet cleaning method may be used by stripping off the uppermost spacer layers on the backside of the wafer using a cleaning solution. In one embodiment, hydrogen fluoride (HF) solution may be employed to remove the nitride/oxide spacer layer. In another embodiment, a dry cleaning method may be employed to etch the wafer at the bevel region. Residues are completely removed from the wafer backside. This method improves the yield and storage life of the semiconductor wafers. 1. A method for processing a semiconductor wafer comprising:providing the semiconductor wafer that includes an active surface on a frontside of the semiconductor wafer and an inactive surface on a backside of the semiconductor wafer, wherein the active and inactive surfaces are opposite surfaces of the semiconductor wafer, and the active surface is a surface on which transistors are formed;forming front-end-of-line layers on the active surface and the inactive surface of the semiconductor wafer, wherein the front-end-of-line layers include one or more gate layers disposed on the active surface and the inactive surface of the semiconductor wafer, and front-end-of-line layers further include one or more front-end-of-line dielectric layers disposed on the one or more gate layers on the active surface and the inactive surface;patterning the one or more gate layers on the active surface of the semiconductor wafer to form gates of the transistors;after patterning the one or more gate layers on the active surface of the semiconductor wafer to form gates of the transistors, forming a back-end-of-line dielectric layer over the transistors, wherein the back-end-of-line dielectric layer includes a bond pad;after forming the back-end-of-line dielectric layer, forming a deep trench penetrating into the back-end-of-line dielectric layer with a deep trench etching process that deposits a polymer residue on the one or more ...

Подробнее
02-07-2020 дата публикации

SHOWERS

Номер: US20200206751A1
Автор: WU ALEX, WU James, YANG CE-WEN
Принадлежит: Purity (Xiamen) Sanitary Ware Co., Ltd.

A shower includes a first shower member, a second shower member and a connecting assembly. The first shower member has an inlet opening and at least one first outlet opening, wherein the inlet opening communicates with the first outlet opening. The second shower member is connected to the first shower member, and has a second outlet opening, wherein the second outlet opening communicates with the inlet opening. The connecting assembly includes a first connecting unit and a second connecting unit. The first connecting unit is positioned on one of the first shower member and the second shower member. The second connecting unit is positioned on the other one of the first shower member and the second shower member. The first connecting unit and the second connecting unit are detachably connected to each other. 1. A shower , comprising:a first shower member, having an inlet opening and at least one first outlet opening, wherein the inlet opening communicates with the first outlet opening;a second shower member, connected to the first shower member and having a second outlet opening, wherein the second outlet opening communicates with the inlet opening; anda connecting assembly, comprising a first connecting unit and a second connecting unit, wherein the first connecting unit is positioned on one of the first shower member and the second shower member; the second connecting unit is positioned on the other one of the first shower member and the second shower member; the first connecting unit and the second connecting unit are detachably connected to each other.2. The shower of claim 1 , wherein the first connecting unit comprises at least one protrusion claim 1 , and the second connecting unit comprises at least one recess; the protrusion is detachably positioned in the recess.3. The shower of claim 2 , wherein the first connecting unit comprises an opening claim 2 , and the second connecting unit comprises an end portion; the end portion is detachably positioned in the ...

Подробнее
02-07-2020 дата публикации

SHOWER FACILITY OPERATED BY WIRELESS REMOTE CONTROL DEVICE

Номер: US20200206752A1
Автор: WU ALEX, WU James, YANG CE-WEN
Принадлежит: Purity (Xiamen) Sanitary Ware Co., Ltd.

A shower facility includes a shower assembly and a wireless remote control device. The shower assembly includes a hydroelectricity device and an outlet control device electrically connected to the hydroelectricity device, wherein the hydroelectricity device could provide electricity to the outlet control device. The hydroelectricity device has an inlet opening, and the outlet control device has at least three outlet openings. The wireless remote control device is signal-connected to the shower assembly. When the wireless remote control device is operated to transmit an operating signal to the shower assembly, the outlet control device would receive the operating signal to control the opening and closing of the outlet openings, and one of the outlet openings communicates with the inlet opening. 1. A shower facility , comprising:a shower assembly, comprising a hydroelectricity device and an outlet control device electrically connected to the hydroelectricity device, wherein the hydroelectricity device could provide electricity to the outlet control device; the hydroelectricity device has an inlet opening, and the outlet control device has at least three outlet openings; anda wireless remote control device, signal-connected to the shower assembly; wherein when the wireless remote control device is operated to transmit an operating signal to the shower assembly, the outlet control device would receive the operating signal to control the opening and closing of the outlet openings, and one of the outlet openings communicates with the inlet opening.2. The shower facility of claim 1 , wherein the outlet control device comprises at least one of the electrically controlled valves connected to and communicating with the hydroelectricity device.3. The shower facility of claim 2 , wherein the hydroelectricity device and the electrically controlled valves are arranged linearly.4. The shower facility of claim 2 , wherein the electrically controlled valve has the outlet openings ...

Подробнее
02-07-2020 дата публикации

SHOWERS

Номер: US20200206753A1
Автор: WU ALEX, WU James, YANG CE-WEN
Принадлежит: Purity (Xiamen) Sanitary Ware Co., Ltd.

A shower includes a main body and a control member. The main body includes an inner wall composed an accommodating space, an inlet opening, multiple outlet openings, an inlet bore and multiple outlet bores; the inlet opening communicates with the inlet bore through an inlet channel in the main body; each of the outlet openings communicates with a corresponding one of the outlet bores through an individual outlet channel; the control member is positioned in the accommodating space, wherein the control member could be operated to select one of the outlet opening being able to outlet. 1. A shower , comprising:a main body, having an outer wall, an inner wall and a rear wall, wherein the rear wall has a circular hole, the inner wall is connected to the rear wall at an edge of the circular hole, and the outer wall is connected to an outer edge of the rear wall; the inner wall is composed an accommodating space; the outer wall has an inlet opening, and at least three outlet openings; the inner wall has an inlet bore, and at least three outlet bores; the inlet opening communicates with the inlet bore through an inlet channel in the main body; each of the outlet openings communicates with a corresponding one of the outlet bores through an individual outlet channel; anda control member, positioned in the accommodating space, wherein the control member could be operated to select one of the outlet opening being able to outlet.2. The shower of claim 1 , wherein the control member could be operated to rotate relative to the main body; the control member comprises at least three elastic blocks adapted to plug the outlet bores; wherein the control member could be operated to stay at a closed position and at least three outlet mode positions; when the control member stays at one of the outlet mode positions claim 1 , only one outlet bore is open claim 1 , and other outlet bores are respectively plugged by one of the elastic blocks; when the control member stays at the closed ...

Подробнее
02-07-2020 дата публикации

SHOWERS

Номер: US20200206754A1
Автор: WU ALEX, WU James, YANG CE-WEN
Принадлежит: Purity (Xiamen) Sanitary Ware Co., Ltd.

A shower including a main body and a rotatable member. The main body includes a transparent front cap and a rear housing, wherein the transparent front cap and the rear housing are connected to each other, and an accommodating space is composed by the transparent front cap and the rear housing. The transparent front cap has a plurality of spray bores, and the rear housing has an inlet bore communicating with the spray bores through the accommodating space. A rotatable member is positioned in the accommodating space. The rotatable member could rotate relative to the main body while water flows through the accommodating space. 1. A shower , comprising:a main body, comprising a transparent front cap and a rear housing, wherein the transparent front cap and the rear housing are connected to each other, and an accommodating space is composed by the transparent front cap and the rear housing; the transparent front cap has a plurality of spray bores, and the rear housing has an inlet bore communicating with the spray bores through the accommodating space; anda rotatable member, positioned in the accommodating space, wherein the rotatable member could rotate relative to the main body while water flows through the accommodating space.2. The shower of claim 1 , wherein the rear housing comprises a rotation shaft and a plurality of inner walls positioned in the accommodating space claim 1 , and the inner walls are arranged around the rotation shaft; one end of the rotation shaft contacts with the transparent front cap while another end of the rotation shaft contacts with rear housing; an inclined channel is positioned between adjacent two of the inner walls; when water flows through the inclined channel claim 1 , a vortex would be formed in the accommodating space.3. The shower of claim 2 , wherein the rear housing comprises an outer wall positioned in the accommodating space and positioned around the inner walls.4. The shower of claim 3 , wherein the main body comprises a ...

Подробнее
02-07-2020 дата публикации

SHOWERS

Номер: US20200206755A1
Автор: WU ALEX, WU James, YANG CE-WEN
Принадлежит: Purity (Xiamen) Sanitary Ware Co., Ltd.

A shower includes a first shower assembly, a rotatable valve and a second shower assembly. The first shower assembly has a first inlet bore and a first outlet bore individually separated from each other. The rotatable valve has two diverter flow paths which are separated from each other, and has a second inlet bore and a second outlet bore, wherein the second inlet bore communicates with the second outlet bore. The second shower assembly has a third outlet bore communicating with the second outlet bore. The second shower assembly is connected to the rotatable valve. The second shower assembly is turnable relative to the first shower assembly to rotate the rotatable valve. When the second shower assembly is turned to rotate the rotatable valve, the first outlet bore, the second inlet bore or the both communicate with the first inlet bore through at least one of the diverter flow paths. 1. A shower , comprising:a first shower assembly, having a first inlet bore and a first outlet bore individually separated from each other;a rotatable valve, having two diverter flow paths which are separated from each other, and having a second inlet bore and a second outlet bore, wherein the second inlet bore communicates with the second outlet bore; anda second shower assembly having a third outlet bore communicating with the second outlet bore, wherein the second shower assembly is connected to the rotatable valve, and the second shower assembly is turnable relative to the first shower assembly to rotate the rotatable valve; when the second shower assembly is turned to rotate the rotatable valve, the first outlet bore of the first shower assembly, the second inlet bore of the rotatable valve or the both communicate with the first inlet bore through at least one of the diverter flow paths of the rotatable valve.2. The shower of claim 1 , wherein the first inlet bore and the first outlet bore of the first shower assembly are coplanar.3. The shower of claim 1 , wherein the second ...

Подробнее
02-07-2020 дата публикации

COMPOUNDS FOR USE AS THERAPEUTICALLY ACTIVE SUBSTANCES IN THE TREATMENT OF RETINAL DISEASES

Номер: US20200207719A1
Принадлежит: ENDOGENA THERAPEUTICS, INC.

A method of treating a retinal disease that leads to photoreceptor loss or outer-retina degeneration, includes administering a compound of formula (Ia) or a pharmaceutically acceptable salt thereof to a patient having the retinal disease so as to be delivered to an eye of the patient in an amount effective to treat the retinal disease: 2. The compound according to claim 1 , wherein Ris chloro.3. The compound according to claim 1 , wherein A is a 5-oxazolyl residue.4. The compound according to claim 1 , wherein the phenyl ring B is monosubstituted or disubstituted.5. The compound according to claim 1 , wherein the phenyl ring B is monosubstituted.6. The compound according to claim 5 , wherein Ris selected from the group consisting of methyl claim 5 , trifluoromethyl claim 5 , methylsulfanyl claim 5 , methylsulfonyl claim 5 , difluoromethoxy claim 5 , fluoro claim 5 , bromo claim 5 , chloro claim 5 , methoxy and ethoxy.7. The compound according to claim 5 , wherein Ris difluoromethoxy or chloro.8. The compound according to claim 5 , wherein Ror Ris selected from the group consisting of trifluoromethyl claim 5 , difluoromethoxy claim 5 , and methoxy.9. The compound according to claim 1 , wherein the phenyl ring B is disubstituted.10. The compound according to claim 9 , wherein Ris selected from the group consisting of fluoro claim 9 , bromo claim 9 , and chloro claim 9 , and one of R claim 9 , Ror Ris selected from the group consisting of fluoro claim 9 , bromo claim 9 , and chloro.11. The compound according to claim 10 , wherein Ris chloro and Ris fluoro.12. The compound according to claim 10 , wherein both Rand Rare fluoro.1721.-. (canceled)22. The pharmaceutical composition according to claim 14 , comprising a pharmaceutically acceptable salt of the compound of formula (I).23. The pharmaceutical composition according to claim 14 , further comprising one or more additional therapeutic agents.24. The pharmaceutical composition according to claim 14 , wherein the ...

Подробнее
02-07-2020 дата публикации

COMPOUNDS FOR USE AS THERAPEUTICALLY ACTIVE SUBSTANCES IN THE TREATMENT OF RETINAL DISEASES

Номер: US20200207749A1
Принадлежит: ENDOGENA THERAPEUTICS, INC.

New compounds and a method of treating a retinal disease that leads to photoreceptor loss or outer-retina degeneration, including administering compound of the formula (I) 2. The compound according to claim 1 , wherein the asymmetric center at ring position*of the residue of formula (II) claim 1 , (III) or (IV) is in S configuration.3. The compound according to claim 1 , wherein the asymmetric center at ring position*of the residue of formula (II) claim 1 , (III) or (IV) is in R configuration.4. The compound according to claim 1 , wherein R claim 1 , R claim 1 , R″ claim 1 , R claim 1 , R claim 1 , R″ claim 1 , R claim 1 , R′ and R″ are each hydrogen.5. The compound according to claim 1 , wherein Ris methoxy.6. The compound according to claim 1 , wherein A is a 5-oxazolyl residue.7. The compound according to claim 1 , wherein A is a pyridine-4-yl residue.11. The compound according to claim 8 , wherein at least one of R claim 8 , R′ and R″ is selected from the group consisting of hydrogen claim 8 , methoxy and ethoxy or at least one of R claim 8 , R′ claim 8 , R″ claim 8 , R claim 8 , R′ claim 8 , R″ claim 8 , R claim 8 , R′ and R″ is hydrogen.13. A pharmaceutical composition comprising a pharmaceutically acceptable carrier and/or adjuvant; and a compound according to as a therapeutically active substance.14. The pharmaceutical composition according to claim 13 , comprising at least one compound of formula (I) in which A is a 5-oxazolyl residue.15. The pharmaceutical composition according to claim 13 , comprising at least one compound of formula (I) in which A is a pyridine-4-yl residue.18. The pharmaceutical composition according to formulated for use in the treatment of a retinal disease that leads to photoreceptor loss or outer retina degradation.19. The pharmaceutical composition according to formulated for use in the treatment of a retinal disease selected from the group consisting of inherited retinal dystrophies claim 13 , acquired degeneration claim 13 , ...

Подробнее
19-08-2021 дата публикации

WIRELESS POWER SUPPLY AND ELECTRIC CONTROL SYSTEM FOR TOILET SEAT COVER

Номер: US20210251440A1
Автор: WU ALEX, WU James, YANG CE-WEN
Принадлежит: Purity (Xiamen) Sanitary Ware Co., Ltd.

A wireless power supply and electric control system for a toilet seat cover is provided, including a seat cover assembly, an electric device, and a direct current power supply device. The seat cover assembly includes a seat and a cover controllable to move pivotally relative to the seat. When the cover is in a closed state, the cover covers the seat, while when the cover is in a lifted state, the cover doesn't cover the seat. The electric device is provided in the seat cover assembly and includes an electric control unit for transmitting electrical control signal to an electric assembly for actuating. The direct current power supply device is provided in the seat cover assembly and includes a rechargeable battery for supplying power to the electrically connected electric device. The present invention has no exposed plug or external wire for preventing users from getting an electric shock. 1. A wireless power supply and electric control system for a toilet seat cover comprising:a seat cover assembly comprising a seat and a cover which is controllable to move pivotally relative to the seat so that the cover is in a closed state or a lifted state relative to the seat, wherein when the cover is in the closed state relative to the seat, the cover covers the seat, while when the cover is in the lifted state relative to the seat, the cover doesn't cover the seat so that the seat is exposed;an electric device which is provided in the seat cover assembly, wherein the electric device comprises an electric control unit and at least an electric assembly; the electric control unit transmits an electrical control signal to the at least an electric assembly to actuate the at least an electric assembly; anda direct current power supply device which is provided in the seat cover assembly and is electrically connected to the electric device, wherein the direct current power supply device comprises at least a rechargeable battery which supplies power to the electric device for ...

Подробнее
11-08-2016 дата публикации

SLOT DESIGNS IN WIDE METAL LINES

Номер: US20160233157A1
Принадлежит:

A method and structure for slots in wide lines to reduce stress. An example embodiment method and structure for is an interconnect structure comprising: interconnect comprising a wide line. The wide line has a first slot. The first slot is spaced a first distance from a via plug so that the first slot relieves stress on the wide line and the via plug. The via plug can contact the wide line from above or below. Another example embodiment is a dual damascene interconnect structure comprising: an dual damascene shaped interconnect comprising a via plug, a first slot and a wide line. The wide line has the first slot. The first slot is spaced a first distance from the via plug so that the first slot relieves stress on the wide line and the via plug. 1. A device comprising:a substrate;a dielectric layer disposed over the substrate, wherein the dielectric layer corresponds to an interconnect level of the device; anda continuous conductive line disposed in a trench in the dielectric layer, wherein the continuous conductive line surrounds at least one dielectric structure within the continuous conductive line, the dielectric structure partially interrupts the continuous conductive line, wherein the dielectric structure is positioned in a portion of the continuous conductive line which has a via plug in communication therewith.2. The device of wherein the dielectric structure is of a sufficient dimension to reduce stress related defects in the continuous conductive line and the via plug in a via level which is in communication with the continuous conductive line in proximity of the dielectric structure.3. The device of wherein:the dielectric structure is of sufficient size to reduce stress related defects in the continuous conductive line and the via plug in a via level in communication with the continuous conductive line in proximity of the dielectric structure; andthe continuous conductive line comprises copper.4. The device of wherein the continuous conductive line ...

Подробнее
19-08-2021 дата публикации

METHOD FOR COMBINING THE RESULTS OF ULTRASOUND AND X-RAY AND NEUTRON CEMENT EVALUATION LOGS THROUGH MODALITY MERGING

Номер: US20210255355A1
Принадлежит:

A combining mechanism for borehole logging tool data that employs modality merging to combine the output data of various borehole logging tools to provide a combined result and automated interpretation is provided, said mechanism comprising: at least one mechanism for assigning interpretive values to individual processed data types; at least one mechanism for combining the interpretive value data sets; and, at least one mechanism for providing an interpretation. A method of combining borehole logging tool data that employs modality merging to combine the output data of various borehole logging tools to provide a combined result and automated interpretation is also provided, said method comprising: assigning interpretive values to individual processed data types; combining the interpretive value data sets; and, providing an interpretation. 1. A combining mechanism for borehole logging tool data that employs modality merging to combine the output data of various borehole logging tools to provide a combined result and automated interpretation , comprising:at least one mechanism for assigning interpretive values to individual processed data types;at least one mechanism for combining the interpretive value data sets; andat least one mechanism for providing an interpretation.2. The combining mechanism of claim 1 , wherein said mechanism is configured to process ultrasound or acoustic data from a borehole logging tool.3. The combining mechanism of claim 1 , wherein said mechanism is configured to process x-ray data from a borehole logging tool.4. The combining mechanism of claim 1 , wherein said mechanism is configured to process neutron porosity data from a borehole logging tool.5. The combining mechanism of claim 1 , wherein said mechanism is configured to process neutron activation data from a borehole logging tool.6. The combining mechanism for borehole logging tool data of claim 1 , wherein said borehole tool is a wireline-based tool.7. The combining mechanism for ...

Подробнее
19-08-2021 дата публикации

Media Hiccup Management In A Storage System

Номер: US20210255797A1
Автор: Alex Soukhman, Lior Kamran
Принадлежит: EMC IP Holding Co LLC

A method for use in a storage system, the method comprising: receiving an I/O command; identifying a latency of a first storage device that is associated with the I/O command; and executing the I/O command at least in part based on the latency, wherein executing the I/O command based on the latency includes: performing a first action when the latency is less than a first threshold, and performing a second action when the latency is greater than the first threshold, wherein identifying the latency includes retrieving the latency from a latency database, and wherein the first storage device is part of a storage array, the storage array including one or more second storage devices in addition to the first storage device.

Подробнее
16-08-2018 дата публикации

TEMPERATURE PERFORMANCE OF A SCINTILLATOR-BASED RADIATION DETECTOR SYSTEM

Номер: US20180231683A1
Принадлежит:

A downhole segmented radiation detector tool measuring formations surrounding a borehole is provided, the tool including at least a plurality of segments capable of detecting radiation, wherein the segments return to the tool after interacting with material surrounding the tool; and radiation shielding configured to allow radiation directly from a radiation source to pass internally through the downhole tool to a reference segment. A method of measuring formations surrounding a borehole is also provided, the method including at least: lowering a downhole tool into a borehole surrounded by a subterranean formation; detecting a first plurality of X-rays or gamma-rays that return to the downhole tool after interacting with materials surrounding the downhole tool using a first segment of an array segmented radiation detector; and configuring associated radiation shielding to allow radiation directly from a radiation source to pass internally through the downhole tool to the reference segment. 1. A downhole segmented radiation detector tool measuring formations surrounding a borehole , said tool comprising:a plurality of segments capable of detecting radiation, wherein said segments return to the tool after interacting with material surrounding the tool; andradiation shielding configured to allow radiation directly from a radiation source to pass internally through the downhole tool to a reference segment.2. The tool of claim 1 , wherein the segmented radiation detector is configured so as to reduce the bulk volume of each segment such that the dark-noise contribution associated with increased temperature is limited claim 1 , thereby enabling the detector to operate with low signal-to-noise ratios at temperatures of at least 85° C.3. The tool of claim 1 , wherein the radiation comprises at least one of neutrons claim 1 , X-rays claim 1 , and gamma-rays.4. The tool of claim 1 , wherein the segmented radiation detector further comprises a segmented scintillator having a ...

Подробнее
16-07-2020 дата публикации

SURFACE TREATMENT METHOD

Номер: US20200224325A1
Автор: WU ALEX, WU James, YANG CE-WEN
Принадлежит: Purity (Xiamen) Sanitary Ware Co., Ltd.

A surface treatment method is disclosed. The surface treatment method forms a matte nickel plating layer on a substrate, followed by the brushed finishing and degreasing processes. The degreasing processes includes ultrasonic degreasing and electrolytic degreasing. Next, an acid activation is performed before the formation of a non-leveling nickel plating layer. Finally, a chromium plating layer and a PVD chromium film are sequentially formed. The present invention provides a high quality metal appearance and enhanced corrosion resistance with reduced cost. 1. A surface treatment method comprising:forming a matte nickel plating layer on a substrate;performing a brushed finishing to the matte nickel plating layer to form a brushed surface on the substrate;performing an ultrasonic degreasing to the brushed surface to form an ultrasonically degreased surface;performing an electrolytic degreasing to the ultrasonically degreased surface to form an electrolytically degreased surface;performing an acid activation to the electrolytically degreased surface to form an acid-activated surface;forming a non-leveling nickel plating layer on the acid-activated surface;forming a chromium plating layer on the non-leveling nickel plating layer; andforming a chromium film on the chromium plating layer by physical vapor deposition.2. The surface treatment method as claimed in claim 1 , wherein the substrate is made of a plastic or a metal.3. The surface treatment method according to claim 2 , wherein the plastic is acrylonitrile butadiene styrene (ABS) resin.4. The surface treatment method according to claim 2 , wherein the metal is copper claim 2 , nickel claim 2 , chromium claim 2 , titanium claim 2 , or zirconium.5. The surface treatment method according to claim 1 , wherein the ultrasonic degreasing uses an oil removal powder.6. The surface treatment method according to claim 5 , wherein the oil removal powder contains sodium hydroxide.7. The surface treatment method according to ...

Подробнее
09-09-2021 дата публикации

GROUND STATION FOR UNMANNED AERIAL VEHICLES

Номер: US20210276735A1
Принадлежит: Matternet, Inc.

This disclosure describes a ground station configured to facilitate the delivery of payloads using unmanned aerial vehicle (UAV). The ground station includes multiple sensors that allow for autonomous operation of the ground station as part of a larger payload transportation system. The sensors are configured to confirm loading of payloads onto a UAV, checking a status and safety of the drone and clearing an area surrounding the ground station prior to takeoff and/or landing operations of the UAV. 1. A payload transportation system , comprising: a landing platform;', 'an exchange station configured to receive payloads from and attach payloads to a UAV positioned upon the landing platform;', 'a plurality of sensors, wherein a subset of the plurality of sensors are configured to monitor and scan airspace proximate the ground station for obstacles; and, 'a ground station for an unmanned aerial vehicle (UAV), comprisinga processor configured to issue instructions authoring takeoff and landing operations of the UAV based on sensor readings generated by the subset of the plurality of sensors.2. The payload transportation system as recited in claim 1 , wherein the subset is a first subset and wherein a second subset of the plurality of sensors is configured to capture one or more images of the UAV positioned upon the landing platform.3. The payload transportation system as recited in claim 2 , wherein the processor is further configured to issue instructions to cancel takeoff of the UAV in response to the processor determining that the one or more images captured by the second subset of the plurality of sensors show damage to the UAV.4. The payload transportation system as recited in claim 2 , wherein one or more sensors of the second subset of the plurality of sensors comprises a macro lens.5. The payload transportation system as recited in claim 2 , wherein the plurality of sensors comprises an x-ray imaging device configured to capture images of the UAV for detection of ...

Подробнее
09-09-2021 дата публикации

CIRCUIT ARRANGEMENT, IN PARTICULAR FOR AN ELECTRICALLY DRIVEN MOTOR VEHICLE

Номер: US20210282271A1
Принадлежит:

A circuit arrangement, in particular for an electrically driven motor vehicle 1152364767. A circuit arrangement () , in particular for an electrically driven motor vehicle , having at least one bus bar () which is electrically connected to a supplier () and which is electrically connected to a first consumer () at a first transfer point () and to a second consumer () at a second transfer point () , first and second transfer points ( , ) being formed as flexible contact points.21. The circuit arrangement () according to claim 1 , the electrically conducting connection being formed at the flexible contact points by means of a force-fit and/or positive-fit connection.3111115. The circuit arrangement () according to or claim 1 , at least one of the flexible contact points being formed as a press-in contact () claim 1 , each press-in contact () having fork-like contact elements that project from the end of the bus bar () and are pressed in a force-fit into a rigid contact bushing of the first and/or second consumer.4. The circuit arrangement according to claim 3 , the fork-like contact elements being flexible such that the force-fit between the fork-like contact elements and the contact bushing may be produced by a pressing-in force that is less than 100 N claim 3 , in particular is approximately 30 N to 50 N.5. The circuit arrangement according to any of through claim 3 ,{'b': '9', 'at least one of the flexible contact points being embodied as a spring contact ().'}61. The circuit arrangement () according to any of the preceding claims claim 3 ,{'b': 3', '4, 'the first and/or second consumer (, ) comprising an interconnect device, in particular a printed circuit board having electronic components arranged thereon and interconnected with one another.'}71. The circuit arrangement () according to any of the preceding claims claim 3 ,{'b': '4', 'the supplier () comprising a capacitor, in particular an intermediate circuit capacitor of a direct current intermediate circuit ...

Подробнее
14-09-2017 дата публикации

A CONVERGENT APPROACH TO THE TOTAL SYNTHESIS OF TELMISARTAN VIA A SUZUKI CROSS-COUPLING REACTION

Номер: US20170260146A1
Принадлежит:

Methods of synthesizing the angiotensin II receptor antagonist telmisartan in high yield and purity are provided. The methods involve the coupling of two structurally distinct benzimidazole units via a Suzuki cross-coupling reaction. Methods of regioselectively synthesizing one of the benzimidazole units are also provided. 2. The method of claim 1 , wherein said step of coupling is carried out using microwave assisted heating.3. The method of claim 1 , further comprising the step of forming said potassium(1-(2′-carboxy-[1 claim 1 ,1′-biphenyl]-4-yl)-4-methyl-2-propyl-benzimidazole-6-yl) trifluoroborate byi) pre-treating potassium(4-methyl-2-propyl-benzimidazole-6-yl) trifluoroborate with potassium tert-butoxide; andii) alkylating pretreated potassium(4-methyl-2-propyl-benzimidazole-6-yl) trifluoroborate with methyl 4′-bromomethyl-biphenyl-2-carboxylate, to form said potassium(1-(2′-carboxy-[1,1′-biphenyl]-4-yl)-4-methyl-2-propyl-benzimidazole-6-yl) trifluoroborate.4. The method of claim 1 , further comprising the step of forming said 2-bromo-1-methylbenzim idazole byregioselectively brominating a 2-position of 1 -methylbenzimidazole to form 2-bromo-1-methylbenzimidazole.5. The method of further comprising the step of forming said potassium(4-methyl-2-propyl-benzimidazole-6-yl) trifluoroborate byi) performing reductive cyclization of 4-bromo-2-methyl-6-nitroaniline in the presence of n-butyraldehyde and sodium dithionite to form 6-bromo-4-methyl-2-propylbenzimidazole; andii) converting said 6-bromo-4-methyl-2-propylbenzimidazole to a trifluoroborate salt, to form said potassium(4-methyl-2-propyl-benzimidazole-6-yl) trifluoroborate.6. The method of claim 5 , wherein said step of converting is performed byreacting said 6-bromo-4-methyl-2-propylbenzimidazole with diboron pinacol ester in the presence of a catalyst to form a boronic acid pinacol ester; andconverting said boronic acid pinacol ester to said potassium(4-methyl-2-propyl-benzimidazole-6-yl) trifluoroborate.7. ...

Подробнее
01-10-2015 дата публикации

ISOLATION FOR EMBEDDED DEVICES

Номер: US20150279743A1
Принадлежит: GLOBALFOUNDRIES Singapore Pte. Ltd.

Device and a method of forming a device are presented. The method includes providing a substrate prepared with isolation regions. The substrate includes first, second and third regions. The first region includes a memory region, the second region includes a high voltage (HV) region and the third region includes a logic region. An additional dielectric layer covering the substrate and the isolation regions is formed. A first select region is selectively processed while protecting first non-select regions. The first select region is one of the first, second and third device regions. A first gate dielectric is formed on the select region. Top substrate active area and isolation regions of the first non-select regions are not exposed during processing of the first select region and forming the first gate dielectric. 1. A method of forming a device comprising:providing a substrate prepared with isolation regions, wherein the substrate comprises at least first, second and third regions, the first region comprises a memory region, the second region comprises a high voltage (HV) region and the third region comprises a logic region;forming an additional dielectric layer covering the substrate and the isolation regions;selectively processing a first select region while protecting first non-select regions, wherein the first select region is one of the first, second and third device regions; andforming a first gate dielectric on the select region, wherein top substrate active area and isolation regions of the first non-select regions are not exposed during processing of the first select region and forming the first gate dielectric.2. The method of wherein the first select region is the HV region.3. The method of wherein the additional dielectric layer comprises the same material as the isolation region.4. The method of wherein the first non-select regions are the memory and logic regions and the non-select regions are protected by a mask layer.5. The method of wherein the top ...

Подробнее
11-11-2021 дата публикации

Oilfield system

Номер: US20210348490A1
Принадлежит: SCHLUMBERGER TECHNOLOGY CORPORATION

A method can include receiving time series data acquired during equipment operations at a plurality of natural resource sites; detecting operational events using the time series data; ranking the operational events; associating each of the operational events with a corresponding operational action; and outputting at least a portion of the ranked operational events, each with its corresponding operational action.

Подробнее
29-08-2019 дата публикации

Method of treating cancer

Номер: US20190262395A1

A method of treating cancer can include administering a polyplex of a double stranded RNA and a polymeric conjugate. The polymeric conjugate can consist of a linear polyethyleneimine covalently linked to one or more polyethylene glycol (PEG) moieties. Each PEG moiety can be conjugated via a linker to a targeting moiety capable of binding to a cancer antigen.

Подробнее
29-09-2016 дата публикации

INTEGRATED CIRCUITS WITH MEMORY CELLS AND METHODS OF MANUFACTURING THE SAME

Номер: US20160284991A1
Принадлежит:

Integrated circuits and methods for manufacturing the same are provided. An integrated circuit includes a lower electrode overlying a substrate, an insulating layer overlying the lower electrode, and an upper electrode overlying the insulating layer. The lower electrode, the insulating layer, and the upper electrode form a stack having a side surface. A phase change spacer is adjacent to the side surface, where the phase change spacer is electrically connected to the lower electrode and the upper electrode. 1. An integrated circuit comprising:a lower electrode overlying a substrate;an insulating layer overlying the lower electrode;an upper electrode overlying the insulating layer, such that the lower electrode, the insulating layer, and the upper electrode comprise a stack having a side surface;a phase change spacer adjacent to the side surface, wherein the phase change spacer is electrically connected to the lower electrode and the phase change spacer is directly connected to the upper electrode; anda heater spacer adjacent to the side surface of the stack, wherein the phase change spacer and the heater spacer are electrically connected, and wherein the heat spacer is directly connected to the lower electrode.2. The integrated circuit of further comprising:a base layer underlying the lower electrode wherein the phase change spacer terminates over the base layer.3. The integrated circuit of wherein the base layer comprises an N-polysilicon layer and a P-polysilicon layer.4. The integrated circuit of wherein the phase change spacer comprises germanium antimony tellurium.5. The integrated circuit of wherein the lower electrode comprises a lower phase change layer and a lower metallic layer.6. The integrated circuit of wherein the upper electrode comprises an upper phase change layer and an upper metallic layer.7. The integrated circuit of wherein the stack comprises four side surfaces claim 1 , and wherein the phase change spacer is adjacent to one of the four side ...

Подробнее
25-12-2014 дата публикации

CD CONTROL

Номер: US20140374920A1
Принадлежит:

A method includes providing a substrate with a patterned second layer over a first layer. The second layer includes a second layer opening having a first CD equal to the CD produced by a lithographic system (CD). CDis larger than a desired CD (CD). A third layer is formed to fill the opening, leaving a top surface of the second layer exposed. The second layer is removed to produce a mesa formed by the third layer. The CD of the mesa is equal to about the first CD. The mesa is trimmed to produce a mesa with a second CD equal to about CD. A fourth layer is formed to cover the first layer, leaving a top of the mesa exposed. The substrate is etched to remove the mesa and a portion of the first layer below the mesa to form an opening in the first layer with CD. 1. A device comprising:a substrate;a first layer disposed on the substrate;a second layer disposed over the first layer; and{'sub': D', 'L, 'a contact opening extending through the first and second layers, wherein the contact opening has a dimension equal to a desired critical dimension (CD) which is smaller than a critical dimension (CD) produced by a lithographic system (CD) used in forming the device, and a sidewall of the opening is about vertical and is substantially devoid of polymer deposits.'}2. The device of wherein the first and second layers comprise dielectric layers.3. The device of wherein the first dielectric layer comprises a first material which can be etched with selectivity to the second dielectric layer.4. The device of wherein the first layer comprises silicon nitride and the second layer comprises silicon nitride.5. The device of wherein the substrate comprises a contact region in communication with the contact opening.6. The device of wherein the contact opening is filled with conductive material.7. The device of wherein the sidewall of the contact opening forms an angle of 0-0.5° with a vertical direction which is perpendicular to a surface of the substrate.8. The device of wherein the ...

Подробнее
22-10-2015 дата публикации

CMP WAFER EDGE CONTROL OF DIELECTRIC

Номер: US20150303068A1
Принадлежит: GLOBALFOUNDRIES Singapore Pte. Ltd.

Methods of forming a semiconductor device are presented. The method includes providing a wafer with top and bottom wafer surfaces. The wafer includes edge and non-edge regions. A dielectric layer having a desired concave top surface is provided on the top wafer surface. The method includes planarizing the dielectric layer to form a planar top surface of the dielectric layer. The desired concave top surface of the dielectric layer thicknesses compensates for different planarizing rates at the edge and non-edge regions of the wafer. 1. A method of forming a semiconductor device comprising:providing a wafer with top and bottom wafer surfaces, the wafer includes edge and non-edge regions;providing a dielectric layer having a desired concave top surface on the top wafer surface; andplanarizing the dielectric layer to form a planar top surface of the dielectric layer, wherein the concave top surface of the dielectric layer thicknesses compensates for different planarizing rates at the edge and non-edge regions of the wafer.2. The method of wherein the desired concave top surface of the dielectric layer is provided by a deposition process.3. The method of wherein the deposition process comprises a chemical vapor deposition (CVD) process.4. The method of wherein the desired concave top surface of the dielectric layer is formed by adjusting process parameters of a CVD tool used for the CVD process such that higher deposition rate at the edge region of the wafer while lower deposition rate at the center region of the wafer is achieved.5. The method of wherein adjusting the process parameters comprises adjusting pressure and gas flow rate of dielectric film gas to form the dielectric layer.6. The method of wherein adjusting the process parameters comprises customizing design of a faceplate of the CVD tool.7. The method of wherein customizing the design of the faceplate comprises varying the number claim 6 , size claim 6 , density and arrangement of apertures of the faceplate.8 ...

Подробнее
19-09-2019 дата публикации

Manufacturing cell based vehicle manufacturing system and method

Номер: US20190283824A1
Принадлежит: Divergent Technologies Inc

Manufacturing cell based vehicle manufacturing systems and methods for a wide variety of vehicles are disclosed. In one aspect, a manufacturing cell configured for assembling a frame of a vehicle is disclosed. The manufacturing cell includes a positioner, a robot carrier and a robot. The positioner is configured to receive a fixture table configured to hold the frame. The robot carrier includes a vertical lift. The robot is configured to assemble the frame. The positioner is configured to support the frame in a vertical position during an assembling process. In another aspect of the disclosure, a system for manufacturing a vehicle based on a manufacturing cell is disclosed. In another aspect of the disclosure, a method for manufacturing a vehicle based on a manufacturing cell is disclosed.

Подробнее
29-10-2015 дата публикации

INTEGRATED CIRCUITS HAVING NICKEL SILICIDE CONTACTS AND METHODS FOR FABRICATING THE SAME

Номер: US20150311221A1
Принадлежит: GLOBALFOUNDRIES Singapore Pte. Ltd.

Integrated circuits having nickel silicide contacts and methods for fabricating integrated circuits with nickel silicide contacts are provided. An exemplary method for fabricating an integrated circuit includes providing a semiconductor substrate and forming a nonvolatile memory structure over the semiconductor substrate. The nonvolatile memory structure includes a gate surface. The method further includes depositing a nickel-containing material over the gate surface. Also, the method includes annealing the nonvolatile memory structure and forming a nickel silicide contact on the gate surface from the nickel-containing material. 1. A method for fabricating an integrated circuit , the method comprising:providing a semiconductor substrate;forming a nonvolatile memory structure over the semiconductor substrate, wherein the nonvolatile memory structure includes a gate surface;depositing a nickel-containing material over the gate surface; andannealing the nonvolatile memory structure and forming a nickel silicide contact on the gate surface from the nickel-containing material.2. The method of further comprising:forming a source/drain region in the semiconductor substrate; anddepositing the nickel-containing material over the source/drain region, wherein annealing the nonvolatile memory structure comprises forming a nickel silicide contact on the source/drain region from the nickel-containing material.3. The method of wherein annealing the nonvolatile memory structure comprises heating the nonvolatile memory structure at a temperature of no more than about 550° C.4. The method of wherein annealing the nonvolatile memory structure comprises heating the nonvolatile memory structure at a temperature of no more than about 500° C.5. The method of wherein depositing a nickel-containing material over the gate surface comprises forming a nickel/titanium bilayer on the gate surface.6. The method of wherein depositing a nickel-containing material over the gate surface comprises ...

Подробнее
17-10-2019 дата публикации

CANCER-KILLING CELLS

Номер: US20190314409A1
Автор: Blyth Alex, Bruyniks Nico
Принадлежит:

The present invention relates to an in vitro culture of haematopoietic cells, wherein said haematopoietic cells differentiate to form granulocytes characterised by the ability to kill cancer cells. The invention also relates to said granulocytes, methods for identifying said haematopoietic cells and granulocytes, compositions and kits comprising the same, as well as uses of the same for treating cancer. 1. An in vitro cell culture of haematopoietic cells , wherein said haematopoietic cells differentiate to form granulocytes characterised by:a. a surface potential defined by an electrophoretic mobility of at least 1.0 μm·cm/volt·sec; andb. the ability to kill cancer cells.2. An in vitro cell culture of haematopoietic cells , wherein said haematopoietic cells differentiate to form granulocytes characterised by:a. a density of at least 1.077 g/ml; andb. the ability to kill cancer cells.3. An in vitro cell culture of haematopoietic cells , wherein said haematopoietic cells differentiate to form granulocytes characterised by:a. expression or activity of toll-like receptors; and/or an absence of expression or inactivity of: programmed death 1 (PD-1) receptor; CD115; CD224; CXCR1; and/or CXCR2; andb. the ability to kill cancer cells.4. An in vitro cell culture according to claim 1 , wherein the haematopoietic cells differentiate to form granulocytes further characterised by:a. a density of at least 1.077 g/ml; and/orb. expression or activity of toll-like receptors; and/or an absence of expression or inactivity of: programmed death 1 (PD-1) receptor; CD115; CD224; CXCR1; and/or CXCR2.5. An in vitro cell culture according to or claim 1 , wherein the granulocytes are characterised by expression or activity of toll-like receptors; and an absence of expression or inactivity of: programmed death 1 (PD-1) receptor; CD115; CD224; CXCR1; and CXCR2.6. An in vitro cell culture according to any one of the preceding claims claim 1 , wherein the cell culture is enriched for the ...

Подробнее
24-10-2019 дата публикации

INTEGRATED TWO-TERMINAL DEVICE WITH LOGIC DEVICE FOR EMBEDDED APPLICATION

Номер: US20190326352A1
Принадлежит:

Devices and methods of forming a device. A two-terminal device element includes a device stack coupled between first and second terminals. The first terminal contacts a metal line in an underlying interconnect level, and the second terminal is formed over the device layer. An encapsulation liner covers exposed side surfaces of the device stack of the two-terminal device element. A dual damascene interconnect is coupled to the two-terminal device element. 1. A device comprising:a substrate;an interconnect level including a first dielectric layer over the substrate and a first metal line in the first dielectric layer;an etch stop layer over the first dielectric layer, the etch stop layer including a trench opening that exposes the first metal line;a magnetic random access memory cell including a storage element over the first dielectric layer, the storage element including a bottom electrode in the trench opening and in direct contact with the first metal line, a device stack on the bottom electrode, and a top electrode on the device stack, the device stack having side surfaces, and the bottom electrode extending beyond the side surfaces of the device stack;an encapsulation liner located on the side surfaces of the device stack; anda second dielectric layer including a dual damascene interconnect coupled to the top electrode of the storage element.2. The device of wherein the device stack comprises a magnetic tunneling junction element that includes a fixed layer claim 1 , one or more tunneling barrier layers claim 1 , and a free layer.3. The device of wherein the first dielectric layer and the second dielectric layer comprise a low-k dielectric material.4. The device of wherein the top electrode has side surfaces over the side surfaces of the device stack claim 1 , and the encapsulation liner is located on the side surfaces of the top electrode.5. The device of wherein the dual damascene interconnect includes a second metal line and a via contact coupling the second ...

Подробнее
01-12-2016 дата публикации

INTEGRATED CIRCUITS WITH OVERLAY MARKS AND METHODS OF MANUFACTURING THE SAME

Номер: US20160351507A1
Принадлежит:

Integrated circuits and methods for manufacturing the same are provided. An integrated circuit includes a base dielectric layer, a first dielectric layer overlying the base dielectric layer, and a second dielectric layer overlying the first dielectric layer. A first overlay mark is positioned within the first dielectric layer, and a second overlay mark is positioned within the second dielectric layer, where the second overlay mark is offset from the first overlay mark. First and second blocks are positioned within the base dielectric layer, where the first overlay mark directly overlays the first block and the second overlay mark directly overlays the second block. 1. An integrated circuit comprising:a base dielectric layer;a first dielectric layer overlying the base dielectric layer;a second dielectric layer overlying the first dielectric layer;a first overlay mark pattern positioned within the first dielectric layer;a second overlay mark pattern positioned within the second dielectric layer, wherein the second overlay mark pattern is offset from the first overlay mark pattern; anda first block and a second block positioned within the base dielectric layer, wherein the first overlay mark pattern directly overlays the first block, and wherein the second overlay mark pattern directly overlays the second block, wherein the first block comprises a first block upper surface that is dished with a curved area, and wherein the curved area of the first block upper surface is symmetric with the first overlay mark pattern.2. The integrated circuit of wherein the first block and the second block comprise copper.3. The integrated circuit of further comprising an interconnect positioned within the first dielectric layer claim 1 , wherein the first overlay mark pattern comprises a plurality of first overlay marks claim 1 , and wherein the interconnect and the plurality of first overlay marks comprise the same material.4. The integrated circuit of wherein the first overlay mark ...

Подробнее
01-12-2016 дата публикации

SMALL PITCH AND HIGH DENSITY CONTACT ARRAY

Номер: US20160351791A1
Автор: See Alex, Zou Zheng
Принадлежит:

A method of forming high density contact array is disclosed. The method includes providing a first dielectric layer and forming a hard mask stack over the first dielectric layer. The hard mask stack includes first, second and third hard mask layers. The first and second hard mask layers are processed to form high density array of hard mask stack structures using a double patterning process. The hard mask stack structures include patterned first and second hard mask layers having a first width F The width of the patterned second hard mask layers is reduced to a second width F to form high density array of hard mask posts. A fourth hard mask layer is formed over the third hard mask layer and surrounding the hard mask posts. The hard mask posts and portions of the third hard mask layer and first dielectric layer underlying the hard mask posts are removed to form high density contact hole array. 1. A method of forming high density contact array comprising:providing a first dielectric layer;forming a hard mask stack over the first dielectric layer, wherein the hard mask stack comprises first, second and third hard mask layers having materials with mutual etch selectivity with each other and wherein the third hard mask layer is formed over the first dielectric layer while the second hard mask layer is formed in between the first and third hard mask layers;{'b': '1', 'processing the first and second hard mask layers to form high density array of hard mask stack structures by performing a double patterning process, wherein the hard mask stack structures include patterned first and second hard mask layers having a first width F;'}{'b': '2', 'reducing the width of the patterned second hard mask layers to a second width F to form high density array of hard mask posts;'}forming a fourth hard mask layer over the third hard mask layer and surrounding the hard mask posts; andremoving the hard mask posts and portions of the third hard mask layer and first dielectric layer ...

Подробнее
08-12-2016 дата публикации

SYSTEMS AND METHODS FOR PRODUCT USER INTERFACE DEVELOPMENT

Номер: US20160357408A1
Принадлежит:

Techniques described herein may enable a user to develop a product UI for an enterprise software program in a manner that reduces or eliminates the need for IT development, testing, and deployment. A user-friendly interface may be provided that enables a user to obtain product information describing a product from a product catalog and create interface objects (e.g., labels, textboxes, dropdown boxes, etc.) that use to the product information in a manner that can directly determine how the product information is presented in a product UI. Rules may be added to control how interface objects behave and are used. 1. A method implemented by a computing device , the method comprising:obtaining, by the computing device and from a product catalog, information describing a plurality of products for sale, each product of the plurality of products being defined by a plurality of attributes within the product catalog;displaying, by the computing device, and to a user via a user interface, the information describing the products;receiving, by the computing device and in response to displaying the information, an indication of one or more graphical interface objects to represent each of the plurality of products;associating the indicated one or more graphical interface objects with the plurality of products;generating, by the computing device, a product user interface that includes the graphical interface objects in a manner that represents the plurality of products; andincorporating, by the computing device, the product user interface within an enterprise software program for selling the plurality of products.2. The method of claim 1 , wherein the indicated one or more graphical interface objects comprise positioning information to position each of the one or more graphical interface objects within the product user interface.3. The method of claim 1 , further comprising:automatically checking whether a graphical interface object indicating an availability date of a product of ...

Подробнее
29-10-2020 дата публикации

UV STERILIZATION DEVICE

Номер: US20200339441A1
Автор: WU ALEX, WU James, YANG CE-WEN
Принадлежит: Purity (Xiamen) Sanitary Ware Co., Ltd.

A UV sterilization device includes a body, a power module and a UV sterilization assembly. The body includes a housing, an inlet end and an outlet end, wherein the inlet end and the outlet end are positioned on the housing and communicate with the housing; the inlet end and an outlet end communicate with each other through the housing. The power module is positioned on the body for supply electric power. The UV sterilization assembly is positioned on the body, and electrically connected to the power module; the UV sterilization assembly includes a UV source and at least one reflector, wherein the UV source could emit UV light to the at least one reflector, and the UV source and the at least one reflector are positioned around a sterilization channel, the sterilization channel communicates with the inlet end and the outlet end of the body. 1. A UV sterilization device , comprising:a body, comprising a housing, an inlet end and an outlet end, wherein the inlet end and the outlet end are positioned on the housing and communicate with the housing; the inlet end and an outlet end communicate with each other through the housing;a power module, positioned on the body for supply electric power;a UV sterilization assembly, positioned on the body, and electrically connected to the power module, the UV sterilization assembly comprises a UV source and at least one reflector, wherein the UV source could emit UV light to the at least one reflector, and the UV source and the at least one reflector are positioned around a sterilization channel, the sterilization channel communicates with the inlet end and the outlet end of the body.2. The UV sterilization device of claim 1 , wherein the body comprises an inlet channel and an outlet channel claim 1 , the inlet channel and the outlet channel are positioned in the housing; the inlet end communicates with the inlet channel claim 1 , and the outlet end communicates with the outlet channel; the sterilization channel is positioned between ...

Подробнее
12-11-2020 дата публикации

T-JOINT HAVING PUSH-BUTTON SWITCH

Номер: US20200355310A1
Автор: WU ALEX, WU James, YANG CE-WEN
Принадлежит: Purity (Xiamen) Sanitary Ware Co., Ltd.

A T-joint includes a pipe body and a switch. The pipe body includes an inlet opening, a first outlet opening, a second outlet opening and a switch accommodating space communicating with each other. The switch is positioned into the switch accommodating space of the pipe body, and includes a pressed member and an outlet-selecting member. The pressed member and the outlet-selecting member are connected to each other. When a first pressed portion of the pressed member is pressed, an isolating member of the switch is positioned to isolate the inlet opening from the second outlet opening, but the first outlet opening communicates with the inlet opening, whereby a water flow merely outlets from the first outlet opening. When a second pressed portion of the pressed member is pressed, the isolating member of the switch is positioned to isolate the inlet opening from the first outlet opening, but the second outlet opening communicates with the inlet opening, whereby a water flow merely outlets from the second outlet opening. 1. A T-joint , comprising:a pipe body, comprising an inlet opening, a first outlet opening, a second outlet opening and a switch accommodating space, wherein the inlet opening, the first outlet opening, the second outlet opening and the switch accommodating space communicate with each other; anda switch, positioned into the switch accommodating space of the pipe body, and comprising a pressed member and an outlet-selecting member, wherein the pressed member and the outlet-selecting member are connected to each other; the switch comprises an isolating member positioned on the outlet-selecting member;when a first pressed portion of the pressed member is pressed, the isolating member of the switch is positioned to isolate the inlet opening from the second outlet opening, but the first outlet opening communicates with the inlet opening, whereby a water flow merely outlets from the first outlet opening;when a second pressed portion of the pressed member is ...

Подробнее
10-12-2020 дата публикации

BRACKET FOR HAND SHOWER

Номер: US20200385968A1
Автор: WU ALEX, WU James, YANG CE-WEN
Принадлежит: Purity (Xiamen) Sanitary Ware Co., Ltd.

A bracket for a hand shower includes a pipe and a bracket assembly, wherein the bracket assembly is connected to the pipe. The bracket assembly includes a main body and a flexible member. The main body includes a first arm and a second arm, both of the first arm and the second arm have a pivoted end, the first arm is connected to the second arm, and the pivoted end of the first arm is separated from the pivoted end of the second arm, so as to compose a recess. The flexible member includes a girdle portion, a first pivoting portion and a second pivoting portion, wherein one end of the girdle portion is connected to the first pivoting portion, and another end of the girdle portion is connected to the second pivoting portion. The first pivoting portion of the flexible member is pivotably connected to the pivoted end of the first arm, and the second pivoting portion of the flexible member is pivotably connected to the pivoted end of the second arm. 1. A bracket for a hand shower , comprising:a pipe, having a pipe body, an inlet opening and an outlet opening, wherein the inlet opening is at one end of the pipe body, and the outlet opening is at another end of the pipe body, the inlet opening and the outlet opening communicate with each other; anda bracket assembly, connected to the pipe body of the pipe, and the bracket assembly comprises a main body and a flexible member, wherein the main body comprises a first arm and a second arm, both of the first arm and the second arm have a connected end and a pivoted end, the connected end of the first arm is connected to the connected end of the second arm, and the pivoted end of the first arm is separated from the pivoted end of the second arm, so as to compose a recess; the flexible member comprises a girdle portion, a first pivoting portion and a second pivoting portion, wherein one end of the girdle portion is connected to the first pivoting portion, and another end of the girdle portion is connected to the second pivoting ...

Подробнее
10-12-2020 дата публикации

DRAIN PLUG LINKAGE ARRANGEMENT

Номер: US20200385970A1
Принадлежит: Purity (Xiamen) Sanitary Ware Co., Ltd.

The present invention discloses a drain plug linkage arrangement including a pull rod assembly, an operating rod, a drain plug, and a drain pipe, wherein the drain plug is movably mounted in the drain pipe. The pull rod assembly includes a pull rod and a connecting rod, wherein the pull rod is connected to the connecting rod via a button. A first end of the operating rod is inserted into the drain pipe from a sidewall of the drain pipe and is connected to the drain plug. The operating rod is connected to the connecting rod of the pull rod assembly. Assembly and disassembly between the pull rod and the connecting rod disclosed in the present invention are very convenient. 1. A drain plug linkage arrangement , comprising a pull rod assembly , an operating rod , a drain plug , and a drain pipe , wherein the drain plug is movably disposed in the drain pipe; the pull rod assembly comprises a pull rod and a connecting rod which is connected to the pull rod; the operating rod has a first end and a second end , wherein the first end of the operating rod is inserted into the drain pipe from a sidewall of the drain pipe and is connected to the drain plug; the operating rod is connected to the connecting rod; the drain plug linkage arrangement is characterized in that:an annular fixing groove is formed on a lower portion of said pull rod; the connecting rod has a cavity in a top portion of the connecting rod, wherein the connecting rod has a through hole on a top of the cavity and a side hole on a side wall of the cavity; the lower portion of the pull rod is inserted into the cavity from the through hole; a button is movably disposed in the cavity, and an outer end of the button forms a pressing surface; an inner portion of the button is inserted into the cavity from the side hole of the connecting rod and is able to be moved between a first position and a second position in an axial direction of the side hole; the inner portion of the button has a positioning notch, and an ...

Подробнее
17-12-2020 дата публикации

Access schemes for drive-specific read/write parameters

Номер: US20200393966A1
Принадлежит: SEAGATE TECHNOLOGY LLC

A system includes a read/write controller removably coupled to a storage drive. Responsive to detection of a coupling between the read/write controller and the storage drive, the read/write controller retrieves key information from the storage drive, uses the key information to locate adaptives associated with the primary storage medium, and loads the adaptives into volatile memory to configure read/write settings for access to the primary storage medium.

Подробнее
26-12-2019 дата публикации

Method for preparing lithium-ion battery separator

Номер: US20190393463A1

A method for preparing a lithium-ion battery separator is disclosed. The method comprises: cooling and shaping a liquid-phase stabilization system containing polyethylene, stretching to enlarge pores, extracting with a solvent, and heat-setting to obtain a lithium-ion battery separator, wherein the stretching includes pre-stretching and synchronous bidirectional stretching, and the pre-stretching is completed before the synchronous bidirectional stretching.

Подробнее
31-12-1991 дата публикации

Patent CH679150A5

Номер: CH679150A5
Принадлежит: Oreal

Подробнее