Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 748. Отображено 188.
03-08-2006 дата публикации

System and method for photolithography in semiconductor manufacturing

Номер: US20060172520A1

A method for forming a semiconductor device includes forming a photoresist layer over a substrate and patterning the photoresist layer to form photoresist portions. A second layer is formed over the substrate in areas not covered by the photoresist portions and the photoresist portions are removed. After removing the photoresist portions, the second layer is used to modify the substrate to create at least a portion of the semiconductor device.

Подробнее
09-10-2001 дата публикации

Method of fabricating gate

Номер: US0006300196B1

A method of fabricating a gate is described. A first dielectric layer having a first opening is formed on a substrate. A gate dielectric layer is formed in the opening. A lower portion of a floating gate is formed on the gate dielectric layer. A source/drain region is formed in the substrate beside the lower portion of the floating gate. A conductive layer is formed on the first dielectric layer to completely fill the first opening. The conductive layer is patterned to form a second opening in the conductive layer. The second opening is above the first opening and does not expose the first dielectric layer. The second opening has a tapered sidewall and a predetermined depth. A mask layer is formed to cover the conductive layer and fill the second opening. The mask layer outside the second opening is removed to expose the conductive layer. A portion of the mask layer is removed to leave a first etching mask layer in the second opening. An anisotropic etching process using the first etching ...

Подробнее
01-10-2002 дата публикации

Method of fabricating gate

Номер: US0006458657B1

A method of fabricating a gate. A gate dielectric layer is formed, and a lower portion of a floating gate is formed encompassed by a first dielectric layer. Second dielectric layers with different etching rates are formed to cover the upper portion of the floating gate and the first dielectric layer. Using an etching mask, an opening is formed within the second dielectric layer to expose the floating gate and a portion of the second dielectric layers by performing an anisotropic etching process. Using the same etching mask, the second dielectric layers exposed within the opening is further etched by performing an isotropic etching process. Due to the different etching rates, a dielectric layer with an uneven and enlarged surface is formed. A conformal conductive layer is formed on the exposed lower portion of the floating gate and the exposed second dielectric layers as an upper portion of the floating gate. A conformal third dielectric layer is formed on the conformal conductive layer, ...

Подробнее
30-10-2018 дата публикации

Patterning process with silicon mask layer

Номер: US0010115592B2

A lithography method is provided in accordance with some embodiments. The lithography method includes forming an under layer on a substrate; forming a silicon-containing middle layer on the under layer, wherein the silicon-containing middle layer has a thermal base generator (TBG) composite; forming a photosensitive layer on the silicon-containing middle layer; performing an exposing process to the photosensitive layer; and developing the photosensitive layer, thereby forming a patterned photosensitive layer.

Подробнее
13-07-2017 дата публикации

Developer For Lithography

Номер: US20170199464A1
Принадлежит:

A method for lithography patterning includes forming a material layer over a substrate; exposing a portion of the material layer to a radiation; and removing the exposed portion of the material layer in a developer, resulting in a patterned material layer. The developer comprises water, an organic solvent, and a basic solute. In an embodiment, the basic solute is less than 30% of the developer by weight.

Подробнее
17-04-2012 дата публикации

High etch resistant material for double patterning

Номер: US0008158335B2

The present invention includes a lithography method comprising forming a first patterned insist layer including at least one opening therein over a substrate. A water-soluble polymer layer is formed over the first patterned resist layer and the substrate, whereby a reaction occurs at the interface of the first patterned resist layer and the water-soluble polymer layer. The non-reacted water-soluble polymer layer is removed. Thereafter, a second patterned resist layer is formed over the substrate, wherein at least one portion of the second patterned resist layer is disposed within the at least one opening of the first patterned resist layer or abuts at least one portion of the first patterned resist layer. The substrate is thereafter etched using the first and second patterned resist layers as a mask.

Подробнее
07-09-2004 дата публикации

Biosensor

Номер: US0006787013B2

A spacer forming method for a biosensor that has a biosensor possessing a capillary sampling channel and electrical connecting tracks for the use of a specific portable meter. A pair of electrodes is printed on an insulating base plate to be the transducer of the electrochemical biosensor by means of the screen-printing technology. The advanced thick-film printing technology is employed to construct the spacer component of the sampling channel that precisely controls the volume of a sample solution. Therefore, the spacer forming method reduces the usage of adhesive that otherwise causes a serious problem during a continuous punching procedure. Furthermore, the embedded switch pad on the biosensor is introduced to be instead of a micro switch in a connector of the portable meter.

Подробнее
20-03-2007 дата публикации

Method of reducing alignment measurement errors between device layers

Номер: US0007192845B2

An integrated circuit in which measurement of the alignment between subsequent layers has less susceptibility to stress induced shift. A first layer of the structure has a first overlay mark. A second and/or a third layer are formed in the alignment structure and on the first layer. Portions of the second and/or third layer are selectively removed from regions in and around the first overlay mark. A second overlay mark is formed and aligned to the first overlay mark. The alignment between the second overlay mark and first overlay mark may be measured with an attenuated error due to reflection and refraction or due to an edge profile shift of the first overlay mark.

Подробнее
29-12-2016 дата публикации

Porogen Bonded Gap Filling Material in Semiconductor Manufacturing

Номер: US20160379874A1
Принадлежит:

A method for semiconductor manufacturing includes receiving a device that includes a substrate and a first layer disposed over the substrate, wherein the first layer includes a trench. The method further includes applying a first material over the first layer and filling in the trench, wherein the first material contains a matrix and a porogen that is chemically bonded with the matrix. The method further includes curing the first material to form a porous material layer. The porous material layer has a first portion and a second portion. The first portion is disposed in the trench. The second portion is disposed over the first layer. The first and second portions contain substantially the same percentage of each of Si, O, and C. The first and second portions contain substantially the same level of porosity.

Подробнее
03-07-2008 дата публикации

Systems for displaying images

Номер: US20080158451A1
Автор: Ching-Yu Chang
Принадлежит: TPO Displays Corp.

Systems for displaying images are provided. A representative system comprises a dual domain electrical compensated birefringence liquid crystal display (ECB-LCD) panel. A pair of uniaxial 1/4λ compensation films is separately disposed on both outer surfaces of the dual domain ECB-LCD panel. A pair of uniaxial 1/2λ compensation films is separately disposed on outer surfaces of the pair of uniaxial 1/4λ compensation films. A pair of polarizers is separately disposed on both outer surfaces of the pair of the uniaxial 1/2λ optical compensation films.

Подробнее
07-10-2004 дата публикации

METHOD FOR DEFINING RING PATTERN

Номер: US20040197710A1
Автор: Ching-Yu Chang
Принадлежит:

A method for defining a ring pattern is described, which forms a ring pattern of any shape with only one photomask without misalignment. In the method, a material layer to be defined and a patterned photoresist layer are sequentially formed on a substrate. A silylated photoresist film is formed around the sidewall of the patterned photoresist layer, and then the patterned photoresist layer is removed. The material layer exposed by the silylated photoresist film is removed to form a ring pattern, and then the silylated photoresist film is removed.

Подробнее
02-05-2002 дата публикации

Method of fabricating gate

Номер: US20020052103A1
Автор: Ching-Yu Chang
Принадлежит:

A method of fabricating a gate is described. A first dielectric layer having a first opening is formed on a substrate. A gate dielectric layer is formed in the opening. A lower portion of a floating gate is formed on the gate dielectric layer. A source/drain region is formed in the substrate beside the lower portion of the floating gate. A conductive layer is formed on the first dielectric layer to completely fill the first opening. The conductive layer is patterned to form a second opening in the conductive layer. The second opening is above the first opening and does not expose the first dielectric layer. The second opening has a tapered sidewall and a predetermined depth. A mask layer is formed to cover the conductive layer and fill the second opening. The mask layer outside the second opening is removed to expose the conductive layer. A portion of the mask layer is removed to leave a first etching mask layer in the second opening. An anisotropic etching process using the first etching ...

Подробнее
08-08-2017 дата публикации

Methods for forming a stress-relieved film stack by applying cutting patterns

Номер: US0009728469B2

Disclosed herein is a method of forming a stress relieved film stack, the method comprising forming a film stack on a first side of a substrate, the film stack comprising a plurality of film layers and creating a plurality of film stack openings according to a cutting pattern and along at least a portion of a buffer region. The plurality of film stack openings extend from a top surface of the film stack to the substrate. A deflection of the substrate may be determined, and the cutting pattern selected prior to creating the film stack openings based on the deflection of the substrate. The substrate may have a deflection of less than about 2 μm after creating the plurality of film stack openings. And at least one of the plurality of film layers may comprise one of titanium nitride, silicon carbide and silicon dioxide.

Подробнее
24-04-2012 дата публикации

Method for forming a sacrificial sandwich structure

Номер: US0008163655B2

The present disclosure provides a method for making a semiconductor device. The method includes forming a first material layer on a substrate; forming a second material layer on the first material layer; forming a sacrificial layer on the second material layer; forming a patterned resist layer on the sacrificial layer; applying a first wet etching process using a first etch solution to the substrate to pattern the sacrificial layer using the patterned resist layer as a mask, resulting in a patterned sacrificial layer; applying an ammonia hydroxide-hydrogen peroxide-water mixture (APM) solution to the substrate to pattern the second material layer, resulting in a patterned second material layer; applying a second wet etching process using a second etch solution to the substrate to pattern the first material layer; and applying a third wet etching process using a third etch solution to remove the patterned sacrificial layer.

Подробнее
19-04-2012 дата публикации

SYSTEM AND METHOD OF VAPOR DEPOSITION

Номер: US20120090547A1

Provided is a system for vapor deposition of a coating material onto a semiconductor substrate. The system includes a chemical supply chamber, a supply nozzle operable to dispense vapor, and a heating element operable to provide heat to a substrate in-situ with the dispensing of vapor. The system may further include reaction chamber(s) and/or mixing chamber(s).

Подробнее
22-08-2013 дата публикации

WATER MARK DEFECT PREVENTION FOR IMMERSION LITHOGRAPHY

Номер: US20130216949A1
Автор: Ching-Yu Chang

A photoresist material having a polymer that turns soluble to a base solution in response to reaction with acid. The material includes a photo-acid generator (PAG) that decomposes to form acid in response to radiation energy and a quencher capable of neutralizing acid and having a reduced mobility. The photoresist material can thereby prevent water mark defects from immersion lithography. 1. A material for use in immersion lithography , the material comprising:a polymer that turns soluble to a base solution in response to reaction with acid;a photo-acid generator (PAG) that decomposes to form an acid in response to a radiation energy; anda quencher capable of neutralizing acid and having a reduced mobility, wherein the quencher includes a structure selected from the group consisting of 1) at least one ring structure and 2) at least one carbon chain structure having at least four carbon atoms, andwherein the quencher is chemically bonded to the polymer.2. The material of claim 1 , wherein the structure selected is the at least one ring structure claim 1 , and wherein the at least one ring structure is chemically boned to at least two alkyl groups.3. The material of claim 1 , wherein the structure selected is the at least one ring structure claim 1 , and wherein the at least one ring structure includes at least two ring structures.4. The material of claim 1 , wherein the structure selected is the at least one ring structure claim 1 , and wherein the at least one ring structure includes an oxygen atom.5. The material of claim 1 , wherein the structure selected is the at least one ring structure claim 1 , and wherein the at least one ring structure is chemically boned to another structure selected from the group consisting of 1) at least two alkyl groups and 2) at least two carbon chain structures each having at least four carbon atoms.6. The material of claim 1 , wherein the structure selected is the at least one carbon chain structure having the at least four carbon ...

Подробнее
05-04-2022 дата публикации

Method of manufacturing a semiconductor device

Номер: US0011295961B2

A method of manufacturing a semiconductor device is disclosed herein. The method includes forming a first layer of a first planarizing material over a patterned surface of a substrate, forming a second layer of a second planarizing material over the first planarizing layer, crosslinking a portion of the first planarizing material and a portion of the second planarizing material, and removing a portion of the second planarizing material that is not crosslinked. In an embodiment, the method further includes forming a third layer of a third planarizing material over the second planarizing material after removing the portion of the second planarizing material that is not crosslinked. The third planarizing material can include a bottom anti-reflective coating or a spin-on carbon, and an acid or an acid generator. The first planarizing material can include a spin-on carbon, and an acid, a thermal acid generator or a photoacid generator.

Подробнее
14-06-2022 дата публикации

Adjustable fastening device for plasma gas injectors

Номер: US0011361943B2

An embodiment is an apparatus, such as a plasma chamber. The apparatus includes chamber walls and a chamber window defining an enclosed space. A chamber window is disposed between a plasma antenna and a substrate support. A gas delivery source is mechanically coupled to the chamber window. The gas delivery source comprises a gas injector having a passageway, a window at a first end of the passageway, and a nozzle at a second end of the passageway. The nozzle of the gas delivery source is disposed in the enclosed space. A fastening device is mechanically coupled to the gas delivery source. The fastening device is adjustable to adjust a sealing force against the gas injector.

Подробнее
11-09-2018 дата публикации

Semiconductor method of protecting wafer from bevel contamination

Номер: US0010073347B1

The present disclosure provides a method that includes coating an edge portion of a wafer by a first chemical solution including a chemical mixture of an acid-labile group, a solubility control unit and a thermal acid generator; curing the first chemical solution to form a first protecting layer on the edge portion of the wafer; coating a resist layer on a front surface of the wafer; removing the first protecting layer by a first removing solution; and performing an exposing process to the resist layer.

Подробнее
18-03-2010 дата публикации

HIGH ETCH RESISTANT MATERIAL FOR DOUBLE PATTERNING

Номер: US20100068656A1

The present invention includes a lithography method comprising forming a first patterned insist layer including at least one opening therein over a substrate. A water-soluble polymer layer is formed over the first patterned resist layer and the substrate, whereby a reaction occurs at the interface of the first patterned resist layer and the water-soluble polymer layer. The non-reacted water-soluble polymer layer is removed. Thereafter, a second patterned resist layer is formed over the substrate, wherein at least one portion of the second patterned resist layer is disposed within the at least one opening of the first patterned resist layer or abuts at least one portion of the first patterned resist layer. The substrate is thereafter etched using the first and second patterned resist layers as a mask.

Подробнее
13-01-2011 дата публикации

METHOD AND MATERIAL FOR FORMING A DOUBLE EXPOSURE LITHOGRAPHY PATTERN

Номер: US20110008968A1
Автор: Ching-Yu Chang

A method of lithography patterning includes forming a first material layer on a substrate; forming a first patterned resist layer including at least one opening therein on the first material layer; forming a second material layer on the first patterned resist layer and the first material layer; forming a second patterned resist layer including at least one opening therein on the second material layer; and etching the first and second material layers uncovered by the first and second patterned resist layers.

Подробнее
22-05-2018 дата публикации

Formation method of semiconductor device structure using patterning stacks

Номер: US0009978594B1

Formation methods of a semiconductor device structure are provided. The method includes forming an under layer over a substrate, forming a middle layer over the under layer, and forming a patterned upper layer over the middle layer. The patterned upper layer has a first opening exposing a portion of the middle layer. The method also includes etching the portion of the middle layer exposed by the first opening to form a second opening exposing a portion of the under layer, and etching the portion of the under layer exposed by the second opening of the middle layer. The method further includes forming pores in the middle layer before or during the etching of the portion of the under layer.

Подробнее
15-03-2007 дата публикации

Apparatus and methods for immersion lithography

Номер: US20070058263A1

The present disclosure provides an immersion lithography system. The system includes: an imaging lens having a front surface, a substrate stage positioned underlying the front surface of the imaging lens, and an immersion fluid retaining structure configured to hold a first fluid at least partially filling a space between the front surface and a substrate on the substrate stage. The immersion fluid retaining structure further comprises at least one of: a first inlet positioned proximate the imaging lens and coupled to a vacuum pump system, the first inlet operable to provide the first fluid to the space between the front surface and the substrate, and a second inlet positioned proximate the imaging lens and operable to provide a second fluid on the substrate.

Подробнее
03-05-2005 дата публикации

Method of fabricating phase shift mask

Номер: US0006887627B2

A method of fabricating a phase shift mask (PSM) is described. A patterned photoresist layer is formed on an opaque layer over a transparent plate. A thin mask layer is formed on the sidewalls of the patterned photoresist layer. The exposed opaque layer and transparent plate thereunder are then removed while using the patterned photoresist layer and mask layer as a mask. A phase shift opening is formed in the transparent plate, and thereby a phase shift layer is formed at the place where the phase shift opening is located. The patterned photoresist layer and the opaque layer thereunder are then removed to expose the transparent plate. The opaque layer under the mask layer can precisely self-align the phase shift layer to prevent alignment deviation caused by multiple lithography processes. The precision of the phase shift mask can be increased, and mask manufacture cost can be lowered.

Подробнее
25-07-2023 дата публикации

Priming material for substrate coating

Номер: US0011708495B2

A coating technique and a priming material are provided. In an exemplary embodiment, the coating technique includes receiving a substrate and identifying a material of the substrate upon which a layer is to be formed. A priming material is dispensed on the material of the substrate, and a film-forming material is applied to the priming material. The priming material includes a molecule containing a first group based on an attribute of the substrate material and a second group based on an attribute of the film-forming material. Suitable attributes of the substrate material and the film-forming material include water affinity and degree of polarity and the first and second groups may be selected to have a water affinity or degree of polarity that corresponds to that of the substrate material and the film-forming material, respectively.

Подробнее
18-04-2024 дата публикации

METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE AND PHOTORESIST COMPOSITION

Номер: US20240126170A1
Принадлежит:

A method of manufacturing a semiconductor device includes forming a photoresist layer including a photoresist composition over a substrate. The photoresist layer is selectively exposed to actinic radiation, the selectively exposed photoresist layer is developed to form a pattern in the photoresist layer. The photoresist composition includes a polymer including monomer units with photocleaving promoters, wherein the photocleaving promoters are one or more selected from the group consisting of living free radical polymerization chain transfer agents, electron withdrawing groups, bulky two dimensional (2-D) or three dimensional (3-D) organic groups, N-(acyloxy)phthalimides, and electron stimulated radical generators.

Подробнее
19-12-2019 дата публикации

EUV METALLIC RESIST PERFORMANCE ENHANCEMENT VIA ADDITIVES

Номер: US20190384173A1
Принадлежит:

A photoresist layer is formed over a wafer. The photoresist layer includes a metallic photoresist material and one or more additives. An extreme ultraviolet (EUV) lithography process is performed using the photoresist layer. The one or more additives include: a solvent having a boiling point greater than about 150 degrees Celsius, a photo acid generator, a photo base generator, a quencher, a photo de-composed base, a thermal acid generator, or a photo sensitivity cross-linker.

Подробнее
19-05-2020 дата публикации

Pattern fidelity enhancement with directional patterning technology

Номер: US0010658184B2

A method for semiconductor manufacturing includes providing a substrate and a patterning layer over the substrate; forming a hole in the patterning layer; applying a first directional etching along a first direction to inner sidewalls of the hole; and applying a second directional etching along a second direction to the inner sidewalls of the hole, wherein the second direction is different from the first direction.

Подробнее
08-09-2016 дата публикации

Method and Apparatus for Planarization of Substrate Coatings

Номер: US20160260623A1
Принадлежит:

A system for forming a coating comprises applying a first coating to a substrate having a plurality of topographical features, planarizing a top surface of the first coating, and drying the first coating after planarizing the top surface. The first coating may be applied over the plurality of topographical features, and may be substantially liquid during application. The first coating may optionally be a conformal coating over topographical features of the substrate. The conformal coating may be dried prior to planarizing the top surface of the first coating. A solvent may be applied to the conformal coating, with the top surface of the conformal coating being substantially planar after application of the solvent. The first coating may have a planar surface prior to drying the first coating, and the first coating may be dried without substantial spin-drying by modifying an environment of the first coating.

Подробнее
12-07-2016 дата публикации

Patterning process and chemical amplified photoresist composition

Номер: US0009389510B2

A lithography method includes forming a photosensitive layer on a substrate, exposing the photosensitive layer, baking the photosensitive layer, and developing the exposed photosensitive layer. The photosensitive layer includes a polymer that turns soluble to a base solution in response to reaction with acid, a plurality of photoacid generators (PAGs) that decompose to form acid in response to radiation energy, and a plurality of quenchers having boiling points distributed between about 200 C and about 350 C. The quenchers also have molecular weights distributed between 300 Dalton and about 20000 Dalton, and are vertically distributed in the photosensitive layer such that a first concentration C1 at a top portion of the photosensitive layer is greater than a second concentration C2 at a bottom portion of the photosensitive layer.

Подробнее
09-05-2002 дата публикации

Method for shrinking critical dimension

Номер: US20020055252A1
Автор: Ching-Yu Chang
Принадлежит: Macronix International Co., Ltd.

In accordance with the present invention, a method is provided for shrinking critical dimension in semiconductor processes. This method comprises a step of performing an over-exposure process to a photosensitive layer to form a patterned photosensitive layer on a substrate by using a patterned reticle. Due to the unexposed region of the photosensitive layer being diminished by over-exposure the critical dimension is shrunk. Then, a sacrificial layer is applied for the purpose of pattern reverse-transferring. Next, the patterned photosensitive layer is removed such that the pattern is transferred to the sacrificial layer with a shrunk critical dimension. In cooperation of the present exposure technology with the present invention, the shrinkage of a critical dimension is accomplished, for example, using an I-line exposure light source in a critical dimension of 0.25 μm process, or using a deep UV (ultraviolet) exposure light source in a critical dimension of 0.13 μm process.

Подробнее
19-11-2020 дата публикации

Preparing Patterned Neutral Layers and Structures Prepared Using the Same

Номер: US20200363717A1
Принадлежит:

Embodiments provided herein provide methods for preparing patterned neutral layers using photolithography, and structures prepared using the same. A method of preparing a structure may include disposing a film over a surface of a substrate, and removing plurality of elongated trenches from the film so as to define a plurality of spaced lines. A neutral layer may be disposed over the outer surface of each line, and may include a neutral group attached to the outer surface of that line via a covalent bond or a hydrogen bond. The surface of the substrate between the lines may be substantially free of the neutral layer.

Подробнее
08-09-2020 дата публикации

Resist solvents for photolithography applications

Номер: US0010768527B2

A method includes providing a photoresist solution that includes a first solvent having a first volume and a second solvent having a second volume, where the first solvent is different from the second solvent and where the first volume is less than the second volume; dispersing the photoresist solution over a substrate to form a film, where the dispersing evaporates a portion of the first solvent and a portion of the second solvent such that a remaining portion of the first solvent is greater than a remaining portion of the second solvent; baking the film; after baking the film, exposing the film to form an exposed film; and developing the exposed film.

Подробнее
27-07-2021 дата публикации

Photoresist and method

Номер: US0011073763B2

Shrinkage and mass losses are reduced in photoresist exposure and post exposure baking by utilizing a small group which will decompose. Alternatively a bulky group which will not decompose or a combination of the small group which will decompose along with the bulky group which will not decompose can be utilized. Additionally, polar functional groups may be utilized in order to reduce the diffusion of reactants through the photoresist.

Подробнее
11-04-2024 дата публикации

METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE

Номер: US20240118618A1
Принадлежит:

A method of manufacturing a semiconductor device includes forming a first layer having an organic material over a substrate. A second layer is formed over the first layer, wherein the second layer includes a silicon-containing polymer having pendant acid groups or pendant photoacid generator groups. The forming a second layer includes: forming a layer of a composition including a silicon-based polymer and a material containing an acid group or photoacid generator group over the first layer, floating the material containing an acid group or photoacid generator group over the silicon-based polymer, and reacting the material containing an acid group or photoacid generator group with the silicon-based polymer to form an upper second layer including a silicon-based polymer having pendant acid groups or pendant photoacid generator groups overlying a lower second layer comprising the silicon-based polymer. A photosensitive layer is formed over the second layer, and the photosensitive layer is ...

Подробнее
25-09-2018 дата публикации

Under layer composition and method of manufacturing semiconductor device

Номер: US0010083832B1

Under layer composition and methods of manufacturing semiconductor devices are disclosed. The method of manufacturing semiconductor device includes the following steps. A layer of an under layer composition is formed, wherein the under layer composition includes a polymeric material and a cross-linker, and the cross-linker includes at least one decomposable functional group. A curing process is performed on the layer of the under layer composition to form an under layer, wherein the cross-linker is crosslinked with the polymeric material to form a crosslinked polymeric material having the at least one decomposable functional group. A patterned photoresist layer is formed over the under layer. An etching process is performed to transfer a pattern of the patterned photoresist layer to the under layer. The under layer is removed by decomposing the decomposable functional group.

Подробнее
30-05-2017 дата публикации

Photosensitive material and method of lithography

Номер: US0009665004B2

Photosensitive materials and method of forming a pattern that include providing a composition of a component of a photosensitive material that is operable to float to a top region of a layer formed from the photosensitive material. In an example, a photosensitive layer includes a first component having a fluorine atom (e.g., alkyl fluoride group). After forming the photosensitive layer, the first component floats to a top surface of the photosensitive layer. Thereafter, the photosensitive layer is patterned.

Подробнее
10-01-2017 дата публикации

Reducing defects in patterning processes

Номер: US0009543160B2

A method includes forming a mask layer forming a first photo resist over the mask layer, performing a first patterning step on the first photo resist, and performing a first etching step on the mask layer using the first photo resist as an etching mask. The first photo resist is then removed. The method further includes forming a particle-fixing layer on a top surface and sidewalls of the mask layer, forming a second photo resist over the particle-fixing layer and the mask layer, performing a second patterning step on the second photo resist, and performing a second etching step on the particle-fixing layer and the mask layer using the second photo resist as an etching mask. The particle-fixing layer is etched through. A target layer underlying the mask layer is etched using the mask layer as an etching mask.

Подробнее
25-02-2014 дата публикации

Patterning process and photoresist with a photodegradable base

Номер: US0008658344B2

A resist material and methods using the resist material are disclosed herein. An exemplary method includes forming a resist layer over a substrate, wherein the resist layer includes a polymer, a photoacid generator, an electron acceptor, and a photodegradable base; performing an exposure process that exposes portions of the resist layer with radiation, wherein the photodegradable base is depleted in the exposed portions of the resist layer during the exposure process; and performing an developing process on the resist layer.

Подробнее
01-10-2020 дата публикации

Patterning Method for Semiconductor Devices and Structures Resulting Therefrom

Номер: US20200312662A1
Принадлежит:

A hard mask formed over a patterned photoresist layer in a tri-layer photoresist and a method for patterning a target layer using the same are disclosed. In an embodiment, a method includes depositing a photoresist layer over a first hard mask layer; patterning the photoresist layer to form a plurality of openings in the photoresist layer; depositing a second hard mask layer over the photoresist layer, the second hard mask layer filling the plurality of openings, the second hard mask layer having a first etch selectivity relative to the first hard mask layer, the photoresist layer having a second etch selectivity relative to the first hard mask layer, the first etch selectivity being greater than the second etch selectivity; planarizing the second hard mask layer; removing the photoresist layer; and etching the first hard mask layer using the second hard mask layer as a mask.

Подробнее
08-12-2020 дата публикации

Adhesion layer for multi-layer photoresist

Номер: US0010859915B2

A method is provided including forming a first layer over a substrate and forming an adhesion layer over the first layer. The adhesion layer has a composition including an epoxy group. A photoresist layer is formed directly on the adhesion layer. A portion of the photoresist layer is exposed to a radiation source. The composition of the adhesion layer and the exposed portion of the photoresist layer cross-link using the epoxy group. Thee photoresist layer is then developed (e.g., by a negative tone developer) to form a photoresist pattern feature, which may overlie the formed cross-linked region.

Подробнее
13-05-2003 дата публикации

Method for forming protrusive alignment-mark

Номер: US0006562691B2

A method for forming a protrusive alignment-mark in semiconductor devices is disclosed. A photolithography process is performed to form a photoresist layer on a substrate wherein the substrate has an element region and an alignment region, and the photoresist layer has an element photoresist region and an alignment photoresist region. Afterwards, a first dielectric layer is deposited on the element photoresist region and the alignment photoresist region. The excess portion of first dielectric layer above the photoresist layer is removed such that the photoresist layer is coplanar with the first dielectric layer and thus the photoresist layer is exposed. The photoresist layer on the element region and said alignment region is stripped to form a protrusive alignment-mark on the alignment region.

Подробнее
26-12-2002 дата публикации

Method of removing a photoresist layer on a semiconductor wafer

Номер: US20020197887A1
Автор: Ching-Yu Chang
Принадлежит:

A method of removing a photoresist layer on a semiconductor wafer starts with placing the semiconductor wafer into a dry strip chamber. A dry stripping process is performed to remove the photoresist layer on the semiconductor wafer. The semiconductor wafer is then placed on a rotator of a wet clean chamber and horizontally rotated. A first cleaning process is performed to remove polymers and organic components on a surface of the semiconductor wafer. Then a second cleaning process is performed as well to remove polymers and particles on the surface of the semiconductor wafer. By performing a third cleaning process, a first cleaning solution employed in the first cleaning process and a second cleaning solution employed in the second cleaning process are removed from the surface of the semiconductor wafer. Finally, the semiconductor wafer is spun dry at the end of the method.

Подробнее
16-01-2020 дата публикации

Priming Material for Substrate Coating

Номер: US20200017693A1
Принадлежит:

A coating technique and a priming material are provided. In an exemplary embodiment, the coating technique includes receiving a substrate and identifying a material of the substrate upon which a layer is to be formed. A priming material is dispensed on the material of the substrate, and a film-forming material is applied to the priming material. The priming material includes a molecule containing a first group based on an attribute of the substrate material and a second group based on an attribute of the film-forming material. Suitable attributes of the substrate material and the film-forming material include water affinity and degree of polarity and the first and second groups may be selected to have a water affinity or degree of polarity that corresponds to that of the substrate material and the film-forming material, respectively. 1. A method , comprising:receiving a semiconductor substrate on a chuck;prior to dispensing a liquid priming material on a top surface of the semiconductor substrate, heating the semiconductor substrate from a bottom surface of the semiconductor substrate;dispensing, with a liquid supply nozzle, the liquid priming material on a central portion of the top surface of the semiconductor substrate while continuing to heat the semiconductor substrate from the bottom surface of the semiconductor substrate;prior to drying the liquid priming material, applying a film-forming material to the liquid priming material on the central portion of the top surface of the semiconductor substrate;rotating the semiconductor substrate to disperse the film-forming material from the central portion of the top surface of the semiconductor substrate; andafter the rotating, evaporating the liquid priming material and the film-forming material to leave a component of the film-forming material that physically contacts the top surface of the semiconductor substrate.2. The method of claim 1 , further comprising blowing a gas onto the liquid priming material while the ...

Подробнее
27-09-2018 дата публикации

UNDER LAYER COMPOSITION AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE

Номер: US20180277359A1

Under layer composition and methods of manufacturing semiconductor devices are disclosed. The method of manufacturing semiconductor device includes the following steps. A layer of an under layer composition is formed, wherein the under layer composition includes a polymeric material and a cross-linker, and the cross-linker includes at least one decomposable functional group. A curing process is performed on the layer of the under layer composition to form an under layer, wherein the cross-linker is crosslinked with the polymeric material to form a crosslinked polymeric material having the at least one decomposable functional group. A patterned photoresist layer is formed over the under layer. An etching process is performed to transfer a pattern of the patterned photoresist layer to the under layer. The under layer is removed by decomposing the decomposable functional group.

Подробнее
22-12-2020 дата публикации

Methods of reducing pattern roughness in semiconductor fabrication

Номер: US0010872773B2

A method includes forming a metal-containing material layer over a substrate, patterning the metal-containing material layer, where the patterned material layer has an average roughness, and electrochemically treating the patterned metal-containing material layer to reduce the average roughness. The treatment may be implemented by exposing the patterned metal-containing material layer to an electrically conducting solution and applying a potential between the patterned material layer and a counter electrode exposed to the solution, such that the treating reduces the average roughness of the patterned material layer. The electrically conducting solution may include an ionic compound dissolved in water, alcohol, and/or a surfactant.

Подробнее
22-09-2016 дата публикации

Priming Material for Substrate Coating

Номер: US20160276151A1
Принадлежит:

A coating technique and a priming material are provided. In an exemplary embodiment, the coating technique includes receiving a substrate and identifying a material of the substrate upon which a layer is to be formed. A priming material is dispensed on the material of the substrate, and a film-forming material is applied to the priming material. The priming material includes a molecule containing a first group based on an attribute of the substrate material and a second group based on an attribute of the film-forming material. Suitable attributes of the substrate material and the film-forming material include water affinity and degree of polarity and the first and second groups may be selected to have a water affinity or degree of polarity that corresponds to that of the substrate material and the film-forming material, respectively.

Подробнее
10-01-2023 дата публикации

Negative tone photoresist for EUV lithography

Номер: US0011550220B2

A negative tone photoresist and method for developing the negative tone photoresist is disclosed. For example, the negative tone photoresist includes a solvent, a dissolution inhibitor, and a polymer. The polymer includes a hydroxyl group. The polymer may be greater than 40 weight per cent of a total weight of the negative tone photoresist.

Подробнее
02-08-2007 дата публикации

SHALLOW TRENCH ISOLATION STRUCTURE AND METHOD OF FABRICATING THE SAME

Номер: US20070178664A1
Автор: Uway Tseng, Ching-Yu Chang

A shallow trench isolation structure has a trench formed in a substrate, a silicon oxynitride layer conformally formed on the sidewalls and bottom of the trench, and a high density plasma (HDP) oxide layer substantially filling the trench.

Подробнее
01-06-2006 дата публикации

Method for reducing wafer charging during drying

Номер: US20060115774A1

A novel method for eliminating or reducing the accumulation of electrostatic charges on semiconductor wafers during spin-rinse-drying of the wafers is disclosed. The method includes rinsing a wafer; applying an ionic solution to the wafer; and spin-drying the wafer. During the spin-drying step, the ionic solution neutralizes electrostatic charges on the wafer as the wafer is rotated. This reduces the formation of defects in devices fabricated on the wafer, as well as prevents or reduces electrostatic interference with processing equipment during photolithographic and other fabrication processes.

Подробнее
24-06-2004 дата публикации

[MASK ROM STRUCTURE AND MANUFACTURING METHOD THEREOF]

Номер: US20040121537A1
Автор: Ching-Yu Chang
Принадлежит:

A mask read-only-memory structure and its method of manufacture are provided. The structure includes a substrate, a buried bit line in the substrate and a patterned stack layer covering a portion of the upper surface of the substrate. The stack layer includes a first dielectric layer, a stopping layer and a second dielectric layer. A gate oxide layer covers a portion of the upper surface of the substrate. A word line runs across the buried bit line to form a plurality of coding cells. The memory cells having a stack layer thereon are at a logic state “0” while the memory cells having a gate oxide layer thereon are at a logic state “1”.

Подробнее
09-12-2014 дата публикации

Overlay mark and method of measuring the same

Номер: US0008908181B2

A device having an overlay mark over a substrate and a method of adjusting multi-layer overlay alignment using the overlay mark for accuracy are disclosed. The overlay mark includes a first feature in a first layer, having a plurality of first alignment segments substantially parallel to each other extending only along an X direction; a second feature in a second layer over the first layer, having a plurality of second alignment segments substantially parallel to each other extending along a Y direction different from the X direction; and a third feature in a third layer over the second layer, having a plurality of third alignment segments substantially parallel to each other extending along the X direction and a plurality of fourth alignment segments substantially parallel to each other extending along the Y direction.

Подробнее
20-08-2013 дата публикации

Photoresist stripping technique

Номер: US0008512939B2

A method for fabricating an integrated circuit device is disclosed. The method may include providing a substrate; forming a first material layer over the substrate; forming a patterned second material layer over the substrate; and removing the patterned second material layer with a fluid comprising a steric hindered organic base and organic solvent.

Подробнее
02-02-2012 дата публикации

Self-Assembly Pattern for Semiconductor Integrated Circuit

Номер: US20120028477A1

A method of fabricating a semiconductor device is provided which includes providing a substrate. A material layer is formed over the substrate. A polymer layer is formed over the material layer. A nano-sized feature is self-assembled using a portion of the polymer layer. The substrate is patterned using the nano-sized feature.

Подробнее
02-06-2015 дата публикации

Method and apparatus of patterning a semiconductor device

Номер: US0009046785B2

Provided is a photoresist that includes a polymer having a backbone that is breakable and a photo acid generator that is free of bonding from the polymer. Further, provided is a method of fabricating a semiconductor device. The method includes providing a device substrate. A material layer is formed over the substrate. A photoresist material is formed over the material layer. The photoresist material has a polymer that includes a backbone. The photoresist material is patterned to form a patterned photoresist layer. A fabrication process is then performed to the material layer, wherein the patterned photoresist layer serves as a mask in the fabrication process. Thereafter, the patterned photoresist layer is treated in a manner that breaks the backbone of the polymer. The patterned photoresist layer is then removed.

Подробнее
20-04-2017 дата публикации

Orientation Layer for Directed Self-Assembly Patterning Process

Номер: US20170110319A1
Принадлежит:

Disclosed is a method of forming a semiconductor device using a self-assembly (DSA) patterning process. The method includes forming a patterned feature over a substrate; applying an orientation material that includes a first polymer and a second polymer over the substrate, wherein the first polymer has a first activation energy and the second polymer has a second activation energy; baking the substrate at first temperature thereby forming a first orientation layer that includes the first polymer; baking the substrate at second temperature thereby forming a second orientation layer that includes the second polymer; and performing a directed self-assembly (DSA) process over the first and the second orientation layers. 17-. (canceled)8. A method comprising:providing a substrate having a patterned feature formed thereon;applying an orientation material that includes a first polymer and a second polymer over the substrate, wherein the first polymer is terminated with a first functional group and the second polymer is terminated with a second functional group;forming a first orientation layer that includes the first polymer on the substrate and forming a second orientation layer that includes the second polymer on the patterned feature; andperforming a directed self-assembly (DSA) process over the first and the second orientation layers.9. The method of claim 8 , wherein a thickness of the first orientation layer that includes the first polymer ranges between about 5 nanometers and about 10 nanometers.10. The method of claim 8 , wherein the first functional group includes at least a hydroxyl group claim 8 , a carboxyl group claim 8 , and an amide group.11. The method of claim 8 , wherein the second functional group includes at least an aromatic group.12. The method of claim 8 , wherein the first functional group is configured to attach to the substrate claim 8 , and the second functional group is configured to attach to patterned feature.13. The method of claim 8 , ...

Подробнее
11-08-2020 дата публикации

Method for forming semiconductor structure by patterning resist layer having inorganic material

Номер: US0010741391B2

A method for forming a semiconductor device structure is provided. The method includes forming a material layer over a substrate and forming a resist layer over the material layer. The resist layer includes an inorganic material and an auxiliary, and the inorganic material includes a plurality of metallic cores, and a plurality of first linkers bonded to the metallic cores. The method also includes exposing a portion of the resist layer by performing an exposure process, and the auxiliary reacts with the first linkers during the exposure process. The method further includes etching a portion of the resist layer to form a patterned resist layer and patterning the material layer by using the patterned resist layer as a mask. The method also includes removing the patterned resist layer.

Подробнее
03-10-2013 дата публикации

PHOTOSENSITIVE MATERIAL AND METHOD OF PHOTOLITHOGRAPHY

Номер: US20130260311A1
Автор: Ching-Yu Chang

Methods and materials directed to solubility of photosensitive material in negative tone developer are described. The photosensitive material may include greater than 50% acid labile groups as branches to a polymer chain. In another embodiment, a photosensitive material, after exposure or irradiation, is treated. Exemplary treatments include applying a base to the photosensitive material. 1. A pattern forming method , comprising:applying a photosensitive material to a substrate, wherein the photosensitive material solubility in negative tone developer decreases upon irradiation and wherein the photosensitive material includes greater than approximately 50% acid labile groups;exposing the photosensitive material to a radiation beam; anddeveloping the photosensitive material in negative tone developer.2. The method of claim 1 , further comprising:treating the photosensitive material after the exposing and prior to the developing.3. The method of claim 2 , wherein the treating is performed after a post exposure bake (PEB) process.4. The method of claim 2 , wherein the treating includes introducing a base chemical.5. The method of claim 2 , wherein the treating provides for decreased hydrophobicity of exposed regions of the photosensitive material.6. The method of claim 2 , wherein the treatment includes providing a chemical solution having a pH value of greater than approximately 3.7. The method of claim 1 , wherein the photosensitive material further includes lactone groups.8. The method of claim 1 , wherein the photosensitive material includes greater than approximately 60% acid labile groups as units linked to a polymer backbone chain.9. A pattern forming method claim 1 , comprising:applying a photosensitive material to a substrate;exposing the photosensitive material to a radiation beam;baking the substrate having the exposed photosensitive material;treating the photosensitive material after the baking; anddeveloping the photosensitive material.10. The pattern ...

Подробнее
07-08-2008 дата публикации

Method and System For Cleaning A Photomask

Номер: US20080185021A1

A method for cleaning a photomask includes cleaning the photomask with a chemical cleaner, introducing a solution to the photomask, the solution is configured to react with residuals generated from the chemical cleaner to form insoluble precipitates, and rinsing the photomask with a fluid to remove the insoluble precipitates from the photomask.

Подробнее
29-06-2006 дата публикации

Supercritical developing for a lithographic process

Номер: US20060141399A1

A method of creating a resist image on a semiconductor substrate includes exposing a layer of photoresist on the semiconductor substrate and developing the exposed layer of photoresist using a first fluid including supercritical carbon dioxide and a base such as Tetra-Methyl Ammonium Hydroxide (TMAH). Additionally, the developed photoresist can be cleaned using a second fluid including supercritical carbon dioxide and a solvent such as methanol, ethanol, isopropanol, and xylene.

Подробнее
01-09-2015 дата публикации

Lithography material and lithography process

Номер: US0009122164B2

An immersion lithography resist material comprising a matrix polymer having a first polarity and an additive having a second polarity that is substantially greater than the first polarity. The additive may have a molecular weight that is less than about 1000 Dalton. The immersion lithography resist material may have a contact angle that is substantially greater than the contact angle of the matrix polymer.

Подробнее
09-10-2018 дата публикации

Photoresist and method

Номер: US0010095113B2

Shrinkage and mass losses are reduced in photoresist exposure and post exposure baking by utilizing a small group which will decompose. Alternatively a bulky group which will not decompose or a combination of the small group which will decompose along with the bulky group which will not decompose can be utilized. Additionally, polar functional groups may be utilized in order to reduce the diffusion of reactants through the photoresist.

Подробнее
05-11-2015 дата публикации

Method of Preparing and Using Photosensitive Material

Номер: US20150316846A1
Принадлежит:

Provided in one embodiment is a method that includes selecting a photoresist that is one of a positive-tone photoresist and a negative-tone photoresist. A first additive or a second additive is selected based on the photoresist. The first additive has a fluorine component and a base component attached to a polymer and is selected if the a positive-tone resist is provided. The second additive has the fluorine component and an acid component attached to the polymer and is selected with a negative-tone resist is provided. The selected photoresist and the selected additive material are applied to a target substrate.

Подробнее
19-09-2013 дата публикации

METHOD OF FABRICATING A SEMICONDUCTOR DEVICE

Номер: US20130244434A1

The present disclosure provides a method of fabricating a semiconductor device. The method includes forming a plurality of circuit devices over a substrate. The method includes forming an organic layer over the substrate. The organic layer is formed over the plurality of circuit devices. The method includes polishing the organic layer to planarize a surface of the organic layer. The organic layer is free of being thermally treated prior to the polishing. The organic material is un-cross-linked during the polishing. The method includes depositing a LT-film over the planarized surface of the organic layer. The depositing is performed at a temperature less than about 150 degrees Celsius. The depositing is also performed without using a spin coating process. The method includes forming a patterned photoresist layer over the LT-film. 1. A method of fabricating a semiconductor device , comprising:forming a first patternable layer over a substrate;polishing the first patternable layer to flatten a surface of the first patternable layer, wherein a material of the first patternable layer is capable of being cross-linked but is free of being cross-linked during the polishing; anddepositing a second patternable layer over the flattened surface of the first patternable layer, wherein the first and second patternable layers have different etching rates.2. The method of claim 1 , further comprising:forming a patterned photoresist layer over the second patternable layer; andperforming a lithography process using the patterned photoresist layer as a mask.3. The method of claim 2 , further comprising: before the forming the patterned photoresist layer claim 2 , forming an anti-reflective layer over the second patternable layer claim 2 , wherein the anti-reflective layer and the first and second patternable layers have different etching rates claim 2 , and wherein the photoresist layer is formed over the anti-reflective layer.4. The method of claim 1 , further comprising: forming a ...

Подробнее
27-02-2020 дата публикации

Method and Apparatus of Patterning a Semiconductor Device

Номер: US20200064740A1
Принадлежит:

A method of making a semiconductor device is provided. The method includes forming a photoresist material over a substrate, the photoresist material having a polymer that includes a backbone having a segment and a linking group, the segment including a carbon chain and an ultraviolet (UV) curable group, the UV curable group coupled to the carbon chain and to the linking group; performing a first exposure process that breaks the backbone of the polymer via decoupling the linking group from the connected UV curable group of each segment; performing a second exposure process to form a patterned photoresist layer; and developing the patterned photoresist layer. 1. A method , comprising:forming a photoresist layer over a semiconductor substrate, wherein the photoresist layer includes a polymer whose backbone includes a first segment, a second segment, a first linking group, and a second linking group coupled to the first linking group, wherein the first segment is coupled to the first linking group, and wherein the second segment is coupled to the second linking group;exposing the photoresist layer;baking the exposed photoresist layer, thereby decoupling the first and the second linking groups; andsubsequent to baking the exposed photoresist layer, developing the exposed photoresist layer.2. The method of claim 1 , wherein the second linking group includes an acid-labile group and a donor group having a lone pair.3. The method of claim 1 , wherein baking the exposed photoresist layer includes providing an acid to the backbone claim 1 , and wherein the acid reacts with the first linking group claim 1 , thereby causing the second linking group to leave the first linking group.4. The method of claim 3 , wherein the photoresist layer further includes a photo acid generator (PAG) claim 3 , such that exposing the photoresist layer causes the PAG to release the acid.5. The method of claim 3 , wherein the photoresist layer further includes a thermal acid generator (TAG) claim 3 ...

Подробнее
16-01-2014 дата публикации

APPARATUS AND METHOD FOR DEVELOPING PROCESS

Номер: US20140017616A1

An apparatus includes at least two tanks, at least two pumps, at least one nozzle, and a chuck. The apparatus provides multiple developers with different polarities during a developing process to target portions of a latent resist profile having different polarities, and thus different solubility. This apparatus also allows a mixture of two developers to be used for the resist film developing. A polarity of the mixture is adjustable by controlling a ratio of one pump flow rate to another pump flow rate and further controlling the resist pattern profile. 1. An apparatus for developing a resist film deposited on a substrate , the apparatus comprising:a chuck configured for securing the substrate having the resist film deposited thereon;a first tank configured for storing a first developer of a first polarity;a second tank configured for storing a second developer of a second polarity, the second polarity being different than the first polarity;a first pump coupled to the first tank;a second pump coupled to the second tank; anda nozzle coupled to the first pump and the second pump, wherein the first pump is configured to deliver the first developer from the first tank to the nozzle and the second pump is configured to deliver the second developer from the second tank to the nozzle, and further wherein the nozzle is configured to distribute the first developer and the second developer to the resist film deposited on the substrate.2. The apparatus of claim 1 , further comprising tubing connecting the first and second tanks respectively with the first and second pumps claim 1 , and further connecting the first and second pumps with the nozzle.3. The apparatus of claim 1 , further comprising:a third tank configured for storing a third developer of a third polarity, the third polarity being different than the first polarity and the second polarity; anda third pump coupled to the third tank;wherein the nozzle is further coupled to the third pump, wherein the third pump is ...

Подробнее
09-01-2014 дата публикации

MATERIALS AND METHODS FOR IMPROVED PHOTORESIST PERFORMANCE

Номер: US20140011133A1

A photosensitive material and methods of making a pattern on a substrate are disclosed. The photosensitive material includes a polymer that turns soluble to a developer solution after a chemically amplified reaction, and at least one chemical complex having a single diffusion length. The material includes at least one photo-acid generator (PAG) linked to at least one photo decomposable base (PDB) or quencher. 1. A photosensitive material , comprising:a polymer that turns soluble to a developer solution after a chemically amplified reaction; andat least one chemical complex comprising at least one photo-acid generator (PAG) linked to at least one photo decomposable base (PDB) or quencher,wherein the complex has a single diffusion length.2. The photosensitive material of claim 1 , wherein the PAG is linked to the PDB or quencher through dipole-dipole interactions claim 1 , hydrogen bonding claim 1 , metallic bonding claim 1 , or covalent bonding.3. The photosensitive material of claim 2 , wherein a linking compound links the PAG to the PDB through covalent bonding claim 2 , and the linking compound is selected from the group consisting of a halogen atom claim 2 , a substituted or unsubstituted alkyl claim 2 , a substituted or unsubstituted aryl claim 2 , a substituted or unsubstituted heteroaryl claim 2 , and a substituted or unsubstituted heterocycloalkyl.4. The photosensitive material of claim 1 , wherein the PDB comprises a nitrogen-containing compound.6. The photosensitive material of claim 4 , wherein the nitrogen-containing compound comprises two or more nitrogen atoms.7. The photosensitive material of claim 6 , wherein the nitrogen containing compound is selected from the group consisting of a substituted or unsubstituted guanidine claim 6 , a substituted or unsubstituted aminopyridine claim 6 , a substituted or unsubstituted aminoalkylpyridine claim 6 , a substituted or unsubstituted aminopyrrolidine claim 6 , a substituted or unsubstituted indazole claim 6 , ...

Подробнее
03-05-2012 дата публикации

VAPORIZING POLYMER SPRAY DEPOSITION SYSTEM

Номер: US20120108040A1

A vaporizing spray deposition device for forming a thin film includes a processing chamber, a fluid line, and a spray head coupled to the fluid line proximate the processing chamber. The fluid line is configured to transfer a polymer fluid and solvent mixture to the spray head. The spray head is configured to receive the polymer fluid and solvent mixture and to atomize the polymer fluid and solvent mixture to emit it in a substantially vaporized form to be deposited on a surface and thereby forming a thin film of the polymer on the surface after evaporation of the solvent. In an embodiment, the vaporizing spray deposition device may include a heating device to perform a hard bake process on the polymer. In an embodiment, the vaporizing spray deposition device may be configured to provide a post deposition solvent spray trim process to the thin film polymer.

Подробнее
27-12-2012 дата публикации

METHOD AND MATERIAL FOR FORMING A DOUBLE EXPOSURE LITHOGRAPHY PATTERN

Номер: US20120329282A1

Various lithography methods are disclosed. An exemplary lithography method includes forming a first patterned silicon-containing organic polymer layer over a substrate by removing a first patterned resist layer, wherein the first patterned silicon-containing organic polymer layer includes a first opening having a first dimension and a second opening having the first dimension, the first opening and the second opening exposing the substrate; forming a second patterned silicon-containing organic polymer layer over the substrate by removing a second patterned resist layer, wherein a portion of the patterned second silicon-containing organic polymer layer combines with a portion of the first patterned silicon-containing organic polymer layer to reduce the first dimension of the second opening to a second dimension; and etching the substrate exposed by the first opening having the first dimension and the second opening having the second dimension.

Подробнее
09-02-2016 дата публикации

Method for manufacturing semiconductor device

Номер: US0009256128B2

A system and method for anti-reflective layers is provided. In an embodiment the anti-reflective layer comprises a floating component in order to form a floating region along a top surface of the anti-reflective layer after the anti-reflective layer has dispersed. The floating component may be a floating cross-linking agent, a floating polymer resin, or a floating catalyst. The floating cross-linking agent, the floating polymer resin, or the floating catalyst may comprise a fluorine atom. The anti-reflective layers are removed using a fluid.

Подробнее
11-03-2004 дата публикации

Hole forming by cross-shape image exposure

Номер: US20040048469A1
Принадлежит:

A method of forming holes in a layer through a cross-shape image exposure. The method includes removing a section from each corner of the rectangular patterns on a photomask to form cross-shape patterns so that circular or elliptical contact holes are formed on a photoresist layer after photo-exposure and development. Optical image contrast between contacts is increased by the cross-shape patterns on the photomask.

Подробнее
25-12-2003 дата публикации

Photolithography process for Mask ROM coding

Номер: US20030235789A1
Автор: Ching-Yu Chang
Принадлежит: MACRONIX INTERNATIONAL CO., LTD.

A photolithography process for Mask ROM coding is described. A substrate is provided having a memory array thereon. A first photoresist layer is formed on the substrate with first line/pace patterns, which include trenches having different lengths. A second photoresist layer is formed on the substrate with second line/pace patterns. The second line/space patterns comprise a plurality of linear patterns and linear spaces that are arranged regularly, and have an orientation different from that of the first line/space pattern. Consequently, a plurality of uniform coding windows are defined by the first line/space patterns and the second line/space patterns.

Подробнее
10-06-2004 дата публикации

Mask with extended mask clear-out window and method of dummy exposure using the same

Номер: US20040110070A1
Принадлежит:

A mask with extended mask window for forming patterns on a semiconductor substrate. The mask includes a main chip array having four sides for forming patterns of a main chip in a semiconductor substrate and a plurality of extended mask windows arranged around the main chip array. A method of dummy exposure using the mask includes providing a semiconductor substrate comprising a nitride layer with a plurality of main chip areas therein, and a plurality of unpatterned areas therein, forming a resist layer on the semiconductor substrate, providing an exposure mask comprising a main chip array and a plurality of extended mask windows, patterning the main chip areas of the semiconductor substrate using the main chip array of the exposure mask, patterning the unpatterned areas of the semiconductor substrate using the windows of the exposure mask, and removing the unexposed portions of the resist layer.

Подробнее
02-05-2002 дата публикации

Method of fabricating gate

Номер: US20020052098A1
Автор: Ching-Yu Chang
Принадлежит:

A method of fabricating a gate. A gate dielectric layer is formed, and a lower portion of a floating gate is formed encompassed by a first dielectric layer. Second dielectric layers with different etching rates are formed to cover the upper portion of the floating gate and the first dielectric layer. Using an etching mask, an opening is formed within the second dielectric layer to expose the floating gate and a portion of the second dielectric layers by performing an anisotropic etching process. Using the same etching mask, the second dielectric layers exposed within the opening is further etched by performing an isotropic etching process. Due to the different etching rates, a dielectric layer with an uneven and enlarged surface is formed. A conformal conductive layer is formed on the exposed lower portion of the floating gate and the exposed second dielectric layers as an upper portion of the floating gate. A conformal third dielectric layer is formed on the conformal conductive layer, ...

Подробнее
13-11-2003 дата публикации

Photomask with illumination control over patterns having varying structural densities

Номер: US20030211399A1
Автор: Ching-Yu Chang
Принадлежит:

A photomask is constructed to include a substrate, a transmission control layer, and a reflective layer. The photomask may be used to pattern a photoresist layer deposited during the manufacturing process of semiconductor integrated circuits, and may be particularly useful for patterning photoresist layers in situations where the density of the structures varies across the photoresist layer and thus varies across the corresponding pattern on the photomask. The photomask can compensate for the different structural densities by adjusting the thickness of the transmission control layer. Areas of the photomask that have a lower structural density and therefore have a higher light intensity can be formed to have a thicker transmission control layer as compared to areas which have a higher structural density and which already have a lower light intensity.

Подробнее
04-06-2002 дата публикации

Method of forming alignment marks for photolithographic processing

Номер: US0006399259B1

An alignment method for photolithography, especially for forming an alignment marker on a substrate after ion implantation. A substrate that includes a device region and an alignment mark region is provided. A first patterned photoresist layer is formed over the substrate. The first patterned photoresist layer includes an alignment marker within the alignment mark region and an ion implantation pattern within the device region. Using the first patterned photoresist layer as a mask, an ion implantation is carried out to form a plurality of doped regions. A second patterned photoresist layer that exposes the alignment marker is formed over the ion-implant pattern of the first patterned photoresist layer. Using the alignment marker as a mask, the substrate is etched to form a plurality of recess regions.

Подробнее
09-01-2014 дата публикации

SURFACE-MODIFIED MIDDLE LAYERS

Номер: US20140011139A1

Methods and materials for making a semiconductor device are described. The method includes providing a substrate, forming a surface-modified middle layer (SM-ML) that includes a fluorine-containing material over the substrate, forming a photoresist layer over the SM-ML, exposing the photoresist layer to an exposure energy, and developing the photoresist layer. 1. A method of making a semiconductor device , the method comprising:providing a substrate;forming a surface-modified middle layer (SM-ML) comprising a fluorine-containing material over the substrate;forming a photoresist layer over the SM-ML;exposing the photoresist layer to an exposure energy; anddeveloping the photoresist layer.2. The method of claim 1 , wherein an upper surface of the SM-ML contains a higher concentration of fluorine-containing material compared to lower portions of the SM-ML.3. The method of claim 2 , wherein the upper surface is more hydrophobic compared to the lower portions of the SM-ML.4. The method of claim 3 , wherein a degree of hydrophobicity is adjustable based on a concentration of the fluorine-containing material.5. The method of claim 1 , wherein forming the SM-ML comprises blending a silicon polymer with the fluorine-containing material claim 1 , chemically binding the fluorine-containing material to a silicon polymer backbone claim 1 , or both.6. The method of claim 1 , further comprising adding a photoacid generator to the photoresist layer.7. The method of claim 1 , further comprising adding a material to the SM-ML that makes the SM-ML more hydrophilic after exposure.8. The method of claim 7 , wherein the material comprises a compound with an acid labile group.9. The method of claim 7 , wherein adding the material to the SM-ML comprises blending a silicon polymer with the material claim 7 , chemically binding the material to a silicon polymer backbone claim 7 , or both.10. The method of claim 7 , wherein after exposing the photoresist layer claim 7 , a portion of the SM-ML ...

Подробнее
30-06-2011 дата публикации

Method and Apparatus of Patterning a Semiconductor Device

Номер: US20110159670A1

Provided is a photoresist that includes a polymer having a backbone that is breakable and a photo acid generator that is free of bonding from the polymer. Further, provided is a method of fabricating a semiconductor device. The method includes providing a device substrate. A material layer is formed over the substrate. A photoresist material is formed over the material layer. The photoresist material has a polymer that includes a backbone. The photoresist material is patterned to form a patterned photoresist layer. A fabrication process is then performed to the material layer, wherein the patterned photoresist layer serves as a mask in the fabrication process. Thereafter, the patterned photoresist layer is treated in a manner that breaks the backbone of the polymer. The patterned photoresist layer is then removed.

Подробнее
31-05-2018 дата публикации

Photoresist with Gradient Composition for Improved Uniformity

Номер: US20180151351A1
Принадлежит:

The present disclosure provides an embodiment of a method for lithography patterning. The method includes coating a photoresist layer over a substrate, wherein the photoresist layer includes a first polymer, and a first photo-acid generator (PAG), and a chemical additive mixed in a solvent; performing an exposing process to the photoresist layer; and performing a developing process to the photoresist layer to form a patterned photoresist layer. The chemical additive has a non-uniform distribution in the photoresist layer.

Подробнее
23-06-2005 дата публикации

Photolithography process and photomask structure implemented in a photolithography process

Номер: US20050136338A1
Автор: Ching-Yu Chang
Принадлежит:

In a photolithography process, a photoresist layer is formed on a substrate. A photomask is aligned over the substrate to transfer pattern images defined in the photomask on the substrate. The photomask includes first and second patterns of different light transmission rates, and a dummy pattern surrounding the second pattern having a light transmission rate lower than that of the first pattern. The substrate is exposed to a light radiation through the photomask. The photoresist layer then is developed to form the pattern images. The dummy pattern is dimensionally configured to allow light transmission, but in a substantially amount so that the dummy pattern is not imaged during exposure.

Подробнее
10-12-2015 дата публикации

Reducing Defects in Patterning Processes

Номер: US20150357196A1
Автор: Ching-Yu Chang
Принадлежит:

A method includes forming a mask layer forming a first photo resist over the mask layer, performing a first patterning step on the first photo resist, and performing a first etching step on the mask layer using the first photo resist as an etching mask. The first photo resist is then removed. The method further includes forming a particle-fixing layer on a top surface and sidewalls of the mask layer, forming a second photo resist over the particle-fixing layer and the mask layer, performing a second patterning step on the second photo resist, and performing a second etching step on the particle-fixing layer and the mask layer using the second photo resist as an etching mask. The particle-fixing layer is etched through. A target layer underlying the mask layer is etched using the mask layer as an etching mask.

Подробнее
29-11-2018 дата публикации

Negative Tone Developer For Extreme Ultraviolet Lithography

Номер: US20180341177A1
Принадлежит:

The present disclosure provides NTD developers and corresponding lithography techniques that can overcome resolution, line edge roughness (LER), and sensitivity (RLS) tradeoff barriers particular to extreme ultraviolet (EUV) technologies, thereby achieving high patterning fidelity for advanced technology nodes. An exemplary lithography method includes forming a negative tone resist layer over a workpiece; exposing the negative tone resist layer to EUV radiation; and removing an unexposed portion of the negative tone resist layer in a negative tone developer, thereby forming a patterned negative tone resist layer. The negative tone developer includes an organic solvent having a log P value greater than 1.82. The organic solvent is an ester acetate derivative represented by R1COOR2. R1 and R2 are hydrocarbon chains having four or less carbon atoms. In some implementations, R1, R2, or both R1 and R2 are propyl functional groups, such as n-propyl, isopropyl, or 2-methylpropyl.

Подробнее
05-11-2019 дата публикации

Method and apparatus of patterning a semiconductor device

Номер: US0010466593B2

A method of making a semiconductor device is provided. The method includes forming a photoresist material over a substrate, the photoresist material having a polymer that includes a backbone having a segment and a linking group, the segment including a carbon chain and an ultraviolet (UV) curable group, the UV curable group coupled to the carbon chain and to the linking group; performing a first exposure process that breaks the backbone of the polymer via decoupling the linking group from the connected UV curable group of each segment; performing a second exposure process to form a patterned photoresist layer; and developing the patterned photoresist layer.

Подробнее
08-05-2008 дата публикации

Immersion Lithography System Using A Sealed Wafer Bath

Номер: US20080106710A1

Immersion lithography system and method using a sealed wafer bottom are described. One embodiment is an immersion lithography apparatus including a lens assembly comprising an imaging lens and a wafer stage for retaining a wafer beneath the lens assembly and comprising a seal ring for sealing a gap between a bottom edge of a wafer retained on the wafer stage and the wafer stage. The apparatus further includes a fluid tank for retaining immersion fluid, the fluid tank situated with respect to the wafer stage for enabling full immersion of the wafer retained on the wafer stage in the immersion fluid; a cover disposed over at least a portion of the fluid tank for providing a temperature-controlled, fluid-rich environment within the fluid tank; and at least one directional flow control fluid inlet surrounding the imaging lens for directing immersion fluid toward an edge of the wafer retained on the wafer stage closest to the imaging lens.

Подробнее
10-09-2002 дата публикации

Method of fabricating gate

Номер: US0006448605B1

A method of fabricating a gate is described. A first dielectric layer having a first opening is formed on a substrate. A gate dielectric layer is formed in the opening. A lower portion of a floating gate is formed on the gate dielectric layer. A source/drain region is formed in the substrate beside the lower portion of the floating gate. A conductive layer is formed on the first dielectric layer to completely fill the first opening. The conductive layer is patterned to form a second opening in the conductive layer. The second opening is above the first opening and does not expose the first dielectric layer. The second opening has a tapered sidewall and a predetermined depth. A mask layer is formed to cover the conductive layer and fill the second opening. The mask layer outside the second opening is removed to expose the conductive layer. A portion of the mask layer is removed to leave a first etching mask layer in the second opening. An anisotropic etching process using the first etching ...

Подробнее
15-12-2020 дата публикации

Porogen bonded gap filling material in semiconductor manufacturing

Номер: US0010867922B2

A device includes a substrate; a first layer over the substrate, the first layer containing a metallic material, wherein the first layer includes a trench; and a porous material layer having a first portion and a second portion. The first portion is disposed in the trench. The second portion is disposed on a top surface of the first layer. The first and the second portions contain substantially same percentage of Si, substantially same percentage of O, and substantially same percentage of C.

Подробнее
11-06-2015 дата публикации

Photoresist and Method

Номер: US20150160552A1
Принадлежит:

Shrinkage and mass losses are reduced in photoresist exposure and post exposure baking by utilizing a small group which will decompose. Alternatively a bulky group which will not decompose or a combination of the small group which will decompose along with the bulky group which will not decompose can be utilized. Additionally, polar functional groups may be utilized in order to reduce the diffusion of reactants through the photoresist.

Подробнее
14-12-2006 дата публикации

Method for forming an anti-etching shielding layer of resist patterns in semiconductor fabrication

Номер: US20060281320A1

A method is disclosed for forming a photoresist pattern with enhanced etch resistance on a semiconductor substrate. A photoresist pattern is first formed on the substrate. A silicon-containing polymer layer is deposited over the photoresist pattern on the substrate. A thermal treatment is performed to form a cross-linked anti-etch shielding layer between the photoresist pattern and the silicon-containing layer. Then, the remaining silicon containing layer is removed. A plasma treatment is performed in order to increase an etch resistance of the cross-linked anti-etch shielding layer and the photoresist pattern.

Подробнее
05-12-2002 дата публикации

Method for forming a contact window with low resistance

Номер: US20020182856A1
Автор: Ching-Yu Chang
Принадлежит:

A method for forming a contact window with low resistance. The method at least includes the following steps. First of all, a dielectric layer is formed over a substrate, in which the substrate having a contact region where the metal contact will be formed thereon. Then, a first barrier layer is deposited over the dielectric layer, and a patterned photoresist is formed to defined a contact hole. Next, the first barrier layer and the dielectric layer are etched to expose portion of the substrate by using the photoresist as a mask thereby a contact hole is formed in the dielectric layer, wherein the exposed substrate has a conductive region. Then, a second conformal barrier layer is deposited on the first barrier layer and in the contact hole, the second conformal barrier layer is etched to exposed the conductive region to form a spacer on sidewalls of in the contact hole. Finally, the contact region opening is filled with a metal layer to complete electrical connections.

Подробнее
10-06-2008 дата публикации

Resist collapse prevention using immersed hardening

Номер: US0007384726B2

A method, tool, and machine for hardening a photoresist image while the photoresist image is immersed in a liquid.

Подробнее
04-11-2021 дата публикации

SEMICONDUCTOR DEVICE AND METHOD

Номер: US20210343529A1
Принадлежит:

A method for manufacturing an integrated circuit includes patterning a plurality of photomask layers over a substrate, partially backfilling the patterned plurality of photomask layers with a first material using atomic layer deposition, completely backfilling the patterned plurality of photomask layers with a second material using atomic layer deposition, removing the plurality of photomask layers to form a masking structure comprising at least one of the first and second materials, and transferring a pattern formed by the masking structure to the substrate and removing the masking structure. The first material includes a silicon dioxide, silicon carbide, or carbon material, and the second material includes a metal oxide or metal nitride material.

Подробнее
28-02-2017 дата публикации

Photoresist and method

Номер: US0009581908B2

A photoresist with a group which will decompose bonded to a high etching resistance moiety is provided. Alternatively, the group which will decompose can additionally be attached to a re-attachment group that will re-attach to the polymer after the group which will decompose has cleaved from the polymer. The photoresist may also comprise a non-leaving monomer with a cross-linking site and a cross-linking agent.

Подробнее
20-09-2012 дата публикации

Immersion Lithography System Using Direction-Controlling Fluid Inlets

Номер: US20120236276A1

Immersion lithography system and method using direction-controlling fluid inlets are described. According to one embodiment of the present disclosure, an immersion lithography apparatus includes a lens assembly having an imaging lens disposed therein and a wafer stage configured to retain a wafer beneath the lens assembly. The apparatus also includes a plurality of direction-controlling fluid inlets disposed adjacent to the lens assembly, each direction-controlling fluid inlet in the plurality of direction-controlling fluid inlets being configured to direct a flow of fluid beneath the lens assembly and being independently controllable with respect to the other fluid inlets in the plurality of direction-controlling fluid inlets. 132-. (canceled)33. An immersion lithography apparatus , comprising:a lens assembly having an imaging lens disposed therein;a wafer stage configured to retain a wafer beneath the lens assembly; anda plurality of direction-controlling fluid inlets disposed adjacent to the lens assembly, each direction-controlling fluid inlet in the plurality of direction-controlling fluid inlets being configured to direct a flow of fluid beneath the lens assembly and being independently controllable with respect to the other fluid inlets in the plurality of direction-controlling fluid inlets.34. The immersion lithography apparatus of claim 33 , further including a fluid control value operable to independently open and close each direction-controlling fluid inlet in the plurality of direction-controlling fluid inlets.35. The immersion lithography apparatus of claim 34 , wherein the fluid control value is further operable to open one of the plurality of direction-controlling fluid inlets that is opposite an edge of the wafer that is closest to the imaging lens and close a remainder of the plurality of direction-controlling fluid inlets.36. The immersion lithography apparatus of claim 34 , wherein the fluid control value is further operable to open two ...

Подробнее
12-09-2023 дата публикации

Resist dispensing system and method of use

Номер: US0011754923B2

In a method, a resist material is dispensed through a tube of a nozzle of a resist pump system on a wafer. The tube extends from a top to a bottom of the nozzle and has upper, lower, and middle segments. When not dispensing, the resist material is retracted from the lower and the middle segments, and maintained in the upper segment of the tube. When retracting, a first solvent is flown through a tip of the nozzle at the bottom of the nozzle to fill the lower segment of the tube with the first solvent and to produce a gap in the middle segment of the tube between the resist material and the first solvent. The middle segment includes resist material residues on an inner surface wall of the tube and vapor of the first solvent. The vapor of the first solvent prevents the resist material residues from drying.

Подробнее
11-05-2021 дата публикации

Method for forming semiconductor structure

Номер: US0011003082B2

A method for forming a semiconductor device structure is provided. The method includes forming a material layer over a substrate and providing a resist solution. The resist solution includes a plurality of first polymers and a plurality of second polymers, each of the first polymers includes a first polymer backbone, and a first acid-labile group (ALG) with a first activation energy bonded to the first polymer backbone. Each of the second polymers includes a second polymer backbone, and a second acid-labile group with a second activation energy bonded to the second polymer backbone, the second activation energy is greater than the first activation energy. The method includes forming a resist layer over the material layer, and the resist layer includes a top portion and a bottom portion, and the first polymers diffuse to the bottom portion, and the second polymers diffuse to the top portion.

Подробнее
08-03-2022 дата публикации

Underlayer material for photoresist

Номер: US0011269256B2

A method includes providing a layered structure on a substrate, the layered structure including a bottom layer formed over the substrate, a hard mask layer formed over the bottom layer, a material layer formed over the hard mask layer, and a photoresist layer formed over the material layer, exposing the photoresist layer to a radiation source, developing the photoresist layer, where the developing removes portions of the photoresist layer and the material layer in a single step without substantially removing portions of the hard mask layer, and etching the hard mask layer using the photoresist layer as an etch mask. The material layer may include acidic moieties and/or acid-generating molecules. The material layer may also include photo-sensitive moieties and crosslinking agents.

Подробнее
06-10-2020 дата публикации

Method for performing a photolithography process

Номер: US0010796910B2

A method for performing a photolithography process is provided. The method includes forming a layer over a substrate, and exposing a portion of the layer to form an exposed region. The method also includes performing a baking process on the layer, so that voids are formed in the exposed region of the layer. The method further includes filling the void with a post treatment coating material, and the post treatment coating material is over the exposed region of the layer.

Подробнее
29-08-2006 дата публикации

Sandwich arc structure for preventing metal to contact from shifting

Номер: US0007097921B2

A sandwich ARC structure for preventing metal to contact from shifting, the sandwich ARC structure comprising a first Ti layer formed on a metal laer and a first TiN layer formed on the first Ti layer. A second Ti layer is formed on the first TiN layer and a second TiN layer is formed on the second Ti layer. Wherein the sandwich ARC structure formed of first Ti/first TiN/second Ti/second TiN will reduces the tress between said metal layer and a dielectric layer formed below the metal layer.

Подробнее
19-11-2013 дата публикации

Patterning process and chemical amplified photoresist composition

Номер: US0008586290B2

A lithography method includes forming a photosensitive layer on a substrate, exposing the photosensitive layer, baking the photosensitive layer, and developing the exposed photosensitive layer. The photosensitive layer includes a polymer that turns soluble to a base solution in response to reaction with acid, a plurality of photo-acid generators (PAGs) that decompose to form acid in response to radiation energy, and a plurality of quenchers having boiling points distributed between about 200 C and about 350 C. The quenchers also have molecular weights distributed between 300 Dalton and about 20000 Dalton, and are vertically distributed in the photosensitive layer such that a first concentration C1 at a top portion of the photosensitive layer is greater than a second concentration C2 at a bottom portion of the photosensitive layer.

Подробнее
06-09-2016 дата публикации

Anti-reflective layer and method

Номер: US0009436086B2

A system and method for anti-reflective layers is provided. In an embodiment the anti-reflective layer comprises a floating component in order to form a floating region along a top surface of the anti-reflective layer after the anti-reflective layer has dispersed. The floating component may be a floating cross-linking agent, a floating polymer resin, or a floating catalyst. The floating cross-linking agent, the floating polymer resin, or the floating catalyst may comprise a fluorine atom.

Подробнее
03-05-2012 дата публикации

METHOD OF LITHOGRAPHY

Номер: US20120107747A1

A lithography method of manufacturing integrated circuits is disclosed. A photoalignment layer is formed on a substrate. A treatment is performed to reorganize and align the photoalignment molecules. A photoresist layer may be formed on the photoalignment layer in a bi-layer separate coating or with the photoalignment layer in a bound-bind structure. 1. A method comprising:forming a photoalignment layer over a substrate;performing a treatment to the photoalignment layer;forming a photoresist layer over the photo-aligning layer;exposing the photoresist layer to a predetermined pattern; anddeveloping the photoresist and the photoalignment layers to form a pattern.2. The method of claim 1 , wherein the photoalignment layer has a thickness range between 1 nm to 50 nm.3. The method of claim 1 , wherein the photoalignment layer is composed of a polymer compound.4. The method of claim 1 , wherein the treatment to the photoalignment layer alters the orientation claim 1 , organization claim 1 , or alignment of the polymer molecules.5. The method of claim 4 , wherein the treatment to the photoalignment layer comprises exposing the photoalignment layer to ultraviolet radiation.6. The method of claim 4 , wherein the treatment to the photoalignment layer comprises exposing the photoalignment layer to heat.7. The method of claim 1 , wherein a polymerization treatment is performed on the photoalignment and photoresist layers.8. The method of claim 7 , wherein the treatment to the photoalignment and photoresist layers comprises exposing the photoalignment and photoresist layers to ultraviolet radiation.9. The method of claim 7 , wherein the treatment to the photoalignment and photoresist layers comprises exposing the photoalignment and photoresist layers to heat.10. The method of claim 8 , wherein the ultraviolet radiation has wavelength range from 100 nm to 400 nm.11. A method comprising:forming a combination photoalignment-photoresist layer over a substrate;performing a treatment ...

Подробнее
18-10-2012 дата публикации

Patterning process and photoresist with a photodegradable base

Номер: US20120264057A1

A resist material and methods using the resist material are disclosed herein. An exemplary method includes forming a resist layer over a substrate, wherein the resist layer includes a polymer, a photoacid generator, an electron acceptor, and a photodegradable base; performing an exposure process that exposes portions of the resist layer with radiation, wherein the photodegradable base is depleted in the exposed portions of the resist layer during the exposure process; and performing an developing process on the resist layer.

Подробнее
22-11-2012 дата публикации

TLR-2 AGONISTS AND METHODS OF USE THEREOF

Номер: US20120294878A1
Принадлежит:

Two new TLR2 agonists, VP1 and VP3, which are structural proteins of FMDV. Residues of VP3 responsible for TLR2 activation are identified. In vivo experiments showed that VP3-4xM2e is active as a vaccine adjuvant. 1. A method of stimulating an immune response in a subject , the method comprising:administering to said subject a composition comprising a VP1 or VP3 TLR2 agonist polypeptide, or a nucleic acid encoding said VP1 or VP3 TLR2 agonist polypeptide,wherein administering the composition to the subject stimulates an immune response.2. The method of claim 1 , wherein the VP3 TLR2 agonist comprises or consists of residues 91-150 of FMDV VP3.3. The method of claim 1 , wherein the VP3 TLR2 agonist comprises or consists of residues 91-111 of FMDV VP3.4. The method of claim 1 , wherein the VP3 TLR2 agonist comprises or consists of residues 1-150 of FMDV VP3.5. The method of claim 1 , wherein the TLR2 agonist is administered in combination with an antigen.6. The method of claim 5 , wherein the antigen is a polypeptide fused to the VP3 TLR2 agonist.7. An adjuvant formulation claim 5 , comprising:an effective dose of a VP1 or VP3 TLR2 agonist polypeptide, or a nucleic acid encoding said VP1 or VP3 TLR2 agonist polypeptide, anda pharmaceutically acceptable excipient.8. The formulation of claim 7 , wherein the VP3 TLR2 agonist comprises or consists of residues 91-150 of FMDV VP3.9. The formulation of claim 7 , wherein the VP3 TLR2 agonist comprises or consists of residues 91-111 of FMDV VP3.10. The formulation of claim 7 , wherein the VP3 TLR2 agonist comprises or consists of residues 1-150 of FMDV VP3.11. The formulation of claim 7 , further comprising an antigen.12. The formulation of claim 7 , wherein the antigen is a polypeptide fused to the VP3 TLR2 agonist.13. A polypeptide comprising a VP3 TLR2 agonist fused to an antigen.14. The polypeptide of claim 13 , wherein the FMDV VP1 TLR2 agonist comprises or consists of His6-Smt3-VP1 fusion protein.15. The polypeptide of ...

Подробнее
20-12-2012 дата публикации

IMMERSION LITHOGRAPHY SYSTEM USING A SEALED WAFER BATH

Номер: US20120320351A1

Immersion lithography system and method using a sealed wafer bottom are described. One embodiment is an immersion lithography apparatus comprising a lens assembly comprising an imaging lens and a wafer stage for retaining a wafer beneath the lens assembly, the wafer stage comprising a seal ring disposed on a seal ring frame along a top edge of the wafer retained on the wafer stage, the seal ring for sealing a gap between an edge of the wafer and the wafer stage. The embodiment further includes a fluid tank for retaining immersion fluid, the fluid tank situated with respect to the wafer stage for enabling full immersion of the wafer retained on the wafer stage in the immersion fluid and a cover disposed over at least a portion of the fluid tank for providing a temperature-controlled, fluid-rich environment within the fluid tank; and 127-. (canceled)28. An immersion lithography apparatus comprising:a lens assembly comprising an imaging lens;a wafer stage for retaining a wafer beneath the lens assembly;a fluid tank for retaining immersion fluid, the fluid tank situated with respect to the wafer stage for enabling immersion of the wafer retained on the wafer stage in the immersion fluid;a trench disposed around the fluid tank for capturing immersion fluid overflowing from the fluid tank during operation via at least one overflow hole disposed in a wall of the fluid tank, wherein the wall of the fluid tank is configured so that it may be moved with respect to the fluid tank to allow the immersion fluid to flow out of the fluid tank and into the trench; anda cover disposed over at least a portion of the fluid tank for providing a temperature-controlled, fluid-rich environment within the fluid tank.29. The immersion lithography apparatus of claim 28 , wherein the cover includes a proximity cover disposed with the fluid tank.30. The immersion lithography apparatus of claim 29 , wherein the proximity cover contacts the immersion fluid within the fluid tank.31. The immersion ...

Подробнее
31-01-2013 дата публикации

DICING PROCESS AND DICING APPARATUS

Номер: US20130029476A1
Принадлежит: LEXTAR ELECTRONICS CORP.

A dicing process is provided for cutting a wafer along a plurality of predetermined scribe lines into a plurality of dies that are releasably adhered to a release film. The dicing process includes: (a) disposing a wafer-breaking carrier on a supporting device, the wafer-breaking carrier having a chipping unit; (b) disposing the wafer above the supporting device such that the chipping unit is at a position corresponding to the scribe lines; and (c) adhering a release surface of the release film to the wafer by applying a force to the release film to contact the chipping unit of the wafer-breaking carrier with the wafer, such that the wafer is split along the scribe lines into the dies. 1. A dicing process for cutting a wafer along a plurality of predetermined scribe lines into a plurality of dies that are releasably adhered to a release film , the dicing process comprising:(a) carrier installing step: disposing a wafer-breaking carrier on a supporting device, the wafer-breaking carrier having a chipping unit;(b) wafer positioning step: disposing the wafer above the supporting device such that the chipping unit is at a position corresponding to the scribe lines; and(c) adhering and dicing step: adhering a release surface of the release film to the wafer by applying a force to the release film to contact the chipping unit of the wafer-breaking carrier with the wafer, such that the wafer is split along the scribe lines into the dies that are spaced apart from each other and that are adhered to the release film.2. The dicing process of claim 1 , wherein the chipping unit includes a plurality of longitudinal and transverse intersecting ribs claim 1 , and in step (c) claim 1 , the intersecting ribs are at positions corresponding to the scribe lines and abut against the wafer.3. The dicing process of claim 1 , wherein the chipping unit includes a plurality of spaced apart protrusions claim 1 , and in step (c) claim 1 , the protrusions are at positions corresponding to ...

Подробнее
27-06-2013 дата публикации

SIGNAL AND IMAGE ANALYSIS METHOD AND ULTRASOUND IMAGING SYSTEM

Номер: US20130163839A1

A time domain signal analysis method is provided. The signal analysis method includes the following steps. A signal to be analyzed is received. The signal to be analyzed is iteratively sifted by using Empirical Mode Decomposition (EMD) to extract at least one intrinsic function (IMF). A normalized Hilbert transform is performed on the IMF. The transformed IMF includes phase information. The transformed IMF is processed by means of phase processing to obtain the processed IMF including angular frequency information. The foregoing signal analysis method could be utilized in an ultrasound imaging system to identify image information of ultrasound images. 1. A time domain signal analysis method , comprising:receiving a signal to be analyzed;iteratively sifting the signal to be analyzed by using empirical mode decomposition (EMD) to extract at least one intrinsic mode function (IMF);performing a normalized Hilbert transform on the at least one IMF, wherein the transformed at least one IMF comprises phase information; andprocessing the transformed at least one IMF by means of phase processing to obtain the at least one IMF comprising angular frequency information.2. The time domain signal analysis method as claimed in claim 1 , further comprising:comparing the processed at least one IMF to a two-dimensional image related to the signal to be analyzed to identify image information of the two-dimensional image.3. The time domain signal analysis method as claimed in claim 1 , wherein the step of processing the transformed at least one IMF by means of the phase processing is performed in a time domain.4. The time domain signal analysis method as claimed in claim 1 , wherein the step of processing the transformed at least one IMF by means of the phase processing comprises:taking the first order time derivative of the phase information of the at least one IMF to obtain the angular frequency information; andfiltering a component of angular frequency beating information by means ...

Подробнее
27-06-2013 дата публикации

IMAGING SYSTEM AND IMAGE PROCESSING METHOD THEREOF

Номер: US20130163840A1

An image processing method is provided. The image processing method includes the following steps. A plurality of raw signal is received by a signal transceiving module of the ultrasound imaging system. It is determined whether each of the raw signals satisfies any condition in a condition group, and the raw signal satisfying any condition in the condition group is mapped to one of a plurality of preset constants to generate a plurality of first data. The raw signals not satisfying any condition in the condition group are processed according to a calculation formula to generate a plurality of second data. A beamforming procedure is simultaneously performed on the first and second data to obtain a beamformed image. The beamformed image is transformed to obtain an image of a region to be detected. Furthermore, an imaging system using the foregoing image processing method is also provided. 1. An image processing method , adapted to an ultrasound imaging system , and the image processing method comprising:(a) receiving a plurality of raw signals;(b) determining whether each of the raw signals satisfies any condition in a condition group, and mapping the raw signals satisfying said condition to one of a plurality of predetermined constants to generate a plurality of first data after a first iteration;(c) processing the raw signals not satisfying any condition in the condition group according to a calculation formula to generate a plurality of second data after the first iteration;(d) simultaneously performing a beamforming procedure on the first and second data to obtain a beamformed image;(e) transforming the beamformed image to obtain a processed image.2. The image processing method as claimed in claim 1 , further comprising:repeating the step (b) and the step (c) in allusion to the generated first and second data to obtain the first and the second data generated after multiple iterations.3. The image processing method as claimed in claim 2 , wherein in the step (d) ...

Подробнее
27-06-2013 дата публикации

Selective Bias Compensation for Patterning Steps in CMOS Processes

Номер: US20130164938A1

A method includes forming a photo resist pattern, and performing a light-exposure on a first portion of the photo resist pattern, wherein a second portion of the photo resist pattern is not exposed to light. A photo-acid reactive material is coated on the first portion and the second portion of the photo resist pattern. The photo-acid reactive material reacts with the photo resist pattern to form a film. Portions of the photo-acid reactive material that do not react with the photo resist pattern are then removed, and the film is left on the photo resist pattern. 1. A method comprising:forming a photo resist pattern;performing a light-exposure on a first portion of the photo resist pattern, wherein a second portion of the photo resist pattern is not exposed to light;coating a photo-acid reactive material on the first portion and the second portion of the photo resist pattern;reacting the photo-acid reactive material with the photo resist pattern to form a film; andremoving portions of the photo-acid reactive material that do not react with the photo resist pattern, wherein the film is left on the photo resist pattern.2. The method of claim 1 , wherein the first portion of the photo resist pattern comprises an end portion of the photo resist pattern claim 1 , wherein the photo-acid reactive material is in contact with sidewalls of the end portion claim 1 , and wherein the second portion of the photo resist pattern comprises a middle portion of the photo resist pattern.3. The method of claim 1 , wherein the photo resist pattern comprises a trench therein claim 1 , wherein the second portion of the photo resist pattern comprises portions adjacent to an end portion of the trench claim 1 , and wherein the first portion of the photo resist pattern comprises portions adjacent to a middle portion of the trench.4. The method of further comprising using the photo resist pattern and the film as an etching mask to etch a layer under the photo resist pattern claim 3 , wherein a ...

Подробнее
08-08-2013 дата публикации

PATTERNING PROCESS FOR FIN-LIKE FIELD EFFECT TRANSISTOR (FINFET) DEVICE

Номер: US20130203257A1

A method for patterning a plurality of features in a non-rectangular pattern on an integrated circuit device includes providing a substrate including a surface with a first layer and a second layer, forming a plurality of elongated protrusions in a third layer above the first and second layers, and forming a first patterned layer over the plurality of elongated protrusions. The plurality of elongated protrusions are etched to form a first pattern of the elongated protrusions, the first pattern including at least one inside corner. The method also includes forming a second patterned layer over the first pattern of elongated protrusions and forming a third patterned layer over the first pattern of elongated protrusions. The plurality of elongated protrusions are etched using the second and third patterned layers to form a second pattern of the elongated protrusions, the second pattern including at least one inside corner. 1. A method for patterning a plurality of features in a non-rectangular pattern , the method comprising:providing a substrate including a surface with a plurality of elongated protrusions, the elongated protrusions extending in a first direction;providing a first patterned mask layer on the plurality of elongated protrusions using a first mask;patterning the plurality of elongated protrusions using the first patterned mask layer to form a first modified pattern of elongated protrusions;providing a second patterned mask layer on the first modified pattern of elongated protrusions using a second mask, wherein the second patterned mask layer extending perpendicular to the first direction, and wherein at least a portion of the plurality of elongated protrusions that would be inside the non-rectangular pattern would be altered by a subsequent patterning process;providing a third patterned mask layer on the first modified pattern of elongated protrusions using a third mask, the third patterned mask layer being of a different material than the second ...

Подробнее
15-08-2013 дата публикации

CUT-MASK PATTERNING PROCESS FOR FIN-LIKE FIELD EFFECT TRANSISTOR (FINFET) DEVICE

Номер: US20130210232A1

A method for patterning a plurality of features in a non-rectangular pattern, such as on an integrated circuit device, includes providing a substrate including a surface with a plurality of elongated protrusions, the elongated protrusions extending in a first direction. A first layer is formed above the surface and above the plurality of elongated protrusions, and patterned with an end cutting mask. The end cutting mask includes two nearly-adjacent patterns with a sub-resolution feature positioned and configured such that when the resulting pattern on the first layer includes the two nearly adjacent patterns and a connection there between. The method further includes cutting ends of the elongated protrusions using the pattern on the first layer. 1. A method for patterning a plurality of features in a non-rectangular pattern , the method comprising:providing a substrate including a surface with a plurality of elongated protrusions, the elongated protrusions extending in a first direction;forming a first layer above the surface and above the plurality of elongated protrusions;patterning the first layer with an end cutting mask, the end cutting mask including two nearly-adjacent patterns with a sub-resolution feature positioned and configured such that when the resulting pattern on the first layer includes the two nearly adjacent patterns and a connection there between;cutting ends of the elongated protrusions using the pattern on the first layer.2. The method of wherein the first layer is a hardmask layer.3. The method of wherein the first layer is a photoresist layer.4. The method of wherein the plurality of features are fins for fin-like field effect transistor (FinFET) devices.5. The method of wherein the elongated protrusions are silicon nitride spacers.6. The method of wherein the plurality of features are trenches.7. The method of further comprising:before forming the first layer, forming a second layer above the surface and above the plurality of elongated ...

Подробнее
29-08-2013 дата публикации

METHOD FOR CALIBRATING TEST METER BY BIOSENSOR TEST STRIP

Номер: US20130220839A1
Принадлежит: DELBIO, INC.

A calibration method, including steps of: providing a test meter having a socket provided with a set of conductive pins; providing a biosensor test strip having a set of strip electrodes corresponding to the set of conductive pins, wherein the combination of lengths of the strip electrodes corresponds to a parameter code; inserting the biosensor test strip into the socket of the test meter so that each of the conductive pins and each of the corresponding strip electrode have a relative motion; reading out the parameter code by using a set of pulse widths detected by each of the conductive pins in the insertion period; and calibrating the test meter by using the parameter code to match a calibration parameter installed in the test meter. 1. A method for calibrating a test meter by a biosensor test strip , comprising steps of:providing the test meter having a socket provided with a set of conductive pins;providing the biosensor test strip having a set of strip electrodes corresponding to the set of conductive pins, wherein the combination of lengths of the strip electrodes corresponds to a parameter code;inserting the biosensor test strip into the socket of the test meter so that each of the conductive pins and each of the corresponding strip electrodes have a relative motion;reading out the parameter code by using a set of pulse widths detected by each of the conductive pins in the insertion period; andcalibrating the test meter by using the parameter code to match a calibration parameter installed in the test meter.2. The method as claimed in claim 1 , wherein the step of reading out the parameter code further comprises steps of:providing a first voltage level by a first conductive pin of the set of conductive pins, wherein the first conductive pin contacts with a first strip electrode of the set of strip electrodes; anddetecting a plurality of second voltage levels of a plurality of second conductive pins of the set of conductive pins, wherein the plurality of ...

Подробнее
10-10-2013 дата публикации

METHOD AND COMPOSITION OF A DUAL SENSITIVE RESIST

Номер: US20130266899A1

The present disclosure provides a sensitive material. The sensitive material includes a polymer that turns soluble to a base solution in response to reaction with acid; a plurality of photo-base generators (PBGs) that decompose to form base in response to radiation energy; and a thermal sensitive component that generates acid in response to thermal energy. 110-. (canceled)11. A lithography method , comprising: a polymer that turns soluble to a base solution in response to reaction with acid;', 'a plurality of photo-base generators (PBGs) that decompose to form base in response to radiation energy; and', 'a thermal sensitive component that generates acid in response to thermal energy;, 'forming a dual sensitive resist layer on a substrate, the dual sensitive resist layer includingexposing the dual sensitive resist layer to generate base in the dual sensitive resist layer within exposed regions;baking the exposed dual sensitive resist layer to generate acid within unexposed regions; anddeveloping the dual sensitive resist layer.12. The lithography method of claim 11 , wherein claim 11 , after the developing of the dual sensitive resist layer claim 11 , the dual sensitive resist layer within exposed regions remains and the dual sensitive resist layer within unexposed regions is removed after the developing of the dual sensitive resist layer.13. The lithography method of claim 12 , wherein the thermal sensitive component includes a plurality of thermal acid generators (TAG) that generate acid in response to the thermal energy.14. The lithography method of claim 12 , wherein the thermal sensitive component includes a plurality of photo-quenchable-acid generators (PQ-AGs) that generate acid in response to the thermal energy and are acid-quenchable in response to the radiation energy.15. The lithography method of claim 14 , wherein PQ-AGs are quenched without generating acid in exposed regions.16. The lithography method of claim 15 , wherein the dual sensitive resist layer ...

Подробнее
14-11-2013 дата публикации

PHOTORESIST STRIPPING TECHNIQUE

Номер: US20130303421A1
Принадлежит:

Photoresist stripping solutions are disclosed. An exemplary solution includes an organic solvent and an organic base, wherein the organic base is represented by the formula: 2. The photoresist stripping composition of claim 1 , wherein R claim 1 , R claim 1 , R claim 1 , and Rare selected from a group consisting of an unbranched group claim 1 , a branched group claim 1 , a cyclic group claim 1 , a noncyclic group claim 1 , a saturated group claim 1 , and an unsaturated group.3. The photoresist stripping composition of claim 1 , wherein at least one of R claim 1 , R claim 1 , R claim 1 , or Rincludes an alkyl group larger than a benzene group.4. The photoresist stripping composition of claim 1 , wherein at least one of R claim 1 , R claim 1 , R claim 1 , or Rhas a chain carbon number ranging between about 1 and about 15.5. The photoresist stripping composition of claim 1 , wherein the pendant group is further selected from the group consisting of —OR claim 1 , —SOR claim 1 , —Si(OR) claim 1 , and —C(O)OR claim 1 , R* is selected from at least one of hydrogen claim 1 , an unbranched group claim 1 , a branched group claim 1 , a cyclic group claim 1 , a noncyclic group claim 1 , a saturated group claim 1 , an unsaturated group claim 1 , an alkyl group claim 1 , an alkenyl group claim 1 , or an alkynyl group claim 1 , and R is selected from at least one of an unbranched group claim 1 , a branched group claim 1 , a cyclic group claim 1 , a noncyclic group claim 1 , a saturated group claim 1 , an unsaturated group claim 1 , an alkyl group claim 1 , an alkenyl group claim 1 , or an alkynyl group.6. The photoresist stripping composition of claim 1 , wherein the organic solvent comprises at least one of an alkylsulfoxide claim 1 , a carboxylic ester claim 1 , a carboxylic acid claim 1 , an alcohol claim 1 , a glycol claim 1 , an aldehyde claim 1 , a ketone claim 1 , an acid anhydride claim 1 , a lactone claim 1 , a halogenated or non-halogenated group claim 1 , a branched or ...

Подробнее
21-11-2013 дата публикации

Immersion Lithography Watermark Reduction

Номер: US20130309611A1
Автор: Chang Ching-Yu, Wu Vincent

Provided is a method of performing a lithography process. The method includes: exposing, through an immersion lithography process, a photo-sensitive material on a substrate, the immersion lithography process using a fluid for the exposing; thereafter treating the photo-sensitive material with a solution to neutralize quenchers that have diffused into the photo-sensitive material through the liquid, wherein the solution contains a substance that diffuses into the photo-sensitive material at a first rate that is dependent on a second at which the quenchers diffuse into the photo-sensitive material; thereafter removing a portion of the photo-sensitive material; thereafter performing a post-exposure bake to the photo-sensitive material; and developing the photo-sensitive material. 1. A method , comprising:exposing, through an immersion lithography process, a photo-sensitive layer on a substrate;thereafter treating the photo-sensitive layer, the treating includes using a solution to neutralize quenchers that have diffused into the photo-sensitive layer, wherein a material composition of the solution is a function of a diffusion rate of the quenchers into the photo-sensitive layer; andthereafter removing a portion of the photo-sensitive layer.2. The method of claim 1 , further comprising:performing a post-exposure baking process to the photo-sensitive layer after the removing; anddeveloping the photo-sensitive layer.3. The method of claim 1 , wherein the immersion lithography process uses a fluid for the exposing claim 1 , and wherein the quenchers that have diffused into the photo-sensitive layer are diffused through the fluid.4. The method of claim 1 , wherein the removing the portion of the photo-sensitive layer comprises rinsing the photo-sensitive layer with a solvent.5. The method of claim 1 , wherein the portion of the photo-sensitive layer removed is located near an upper surface of the photo-sensitive layer.6. The method of claim 5 , wherein the removing is ...

Подробнее
05-12-2013 дата публикации

PHOTOSENSTIVE MATERIAL AND METHOD OF LITHOGRAPHY

Номер: US20130323641A1
Автор: Chang Ching-Yu

Photosensitive materials and method of forming a pattern that include providing a composition of a component of a photosensitive material that is operable to float to a top region of a layer formed from the photosensitive material. In an example, a photosensitive layer includes a first component having a fluorine atom (e.g., alkyl fluoride group). After forming the photosensitive layer, the first component floats to a top surface of the photosensitive layer. Thereafter, the photosensitive layer is patterned. 128-. (canceled)29. A method of forming pattern on a substrate , comprising:providing a semiconductor substrate;forming a photosensitive layer on the semiconductor substrate, wherein the photosensitive layer includes a first component having a fluorine atom, and wherein the first component is one of a photoacid generator (PAG) or a quencher;after forming the photosensitive layer, floating the first component to a top surface of the photosensitive layer; andthereafter, patterning the photosensitive layer by exposure of the photosensitive layer to a radiation beam.30. The method of claim 29 , wherein the first component is the photoacid generator (PAG).31. The method of claim 29 , wherein the first component is the quencher.32. The method of claim 29 , wherein the first component is at least one of a photo base generator (PBG) or a photo decompose quencher (PDQ).33. The method of claim 29 , wherein the first component includes an alkyl fluoride group.34. The method of claim 29 , wherein the first component includes a CFgroup.37. The method of claim 36 , wherein the alkyl fluoride group is CF.38. A method claim 36 , comprising:providing a photosensitive material that includes a photoacid generator (PAG) having an alkyl fluoride functional group linked to the PAG;depositing the photosensitive material onto a semiconductor substrate;while the photosensitive material is disposed on the semiconductor substrate, floating PAG having the alkyl functional group from a ...

Подробнее
12-12-2013 дата публикации

SURFACE SWITCHABLE PHOTORESIST

Номер: US20130330671A1

Lithography methods on a semiconductor substrate are described. The methods include coating a resist layer on the substrate, wherein the resist layer comprises a resist polymer configured to turn soluble to a base solution in response to reaction with an acid, and a switchable polymer that includes a base soluble polymer having a carboxylic acid, hydroxyl, lactone, or anhydride functional group, performing a pre-exposure bake on the resist layer, exposing the resist-coated substrate, and developing the exposed substrate with a developing solution. 2. The method of claim 1 , wherein after developing claim 1 , the resist layer loses about 50A of its previous thickness due to removal of the switchable polymer.3. The method of claim 1 , wherein during the pre-exposure bake claim 1 , the resist layer is separated into a first layer containing the resist polymer and a second layer containing the switchable polymer.4. The method of claim 3 , wherein the second layer has a thickness between about 10A to about 1000A.5. The method of claim 4 , wherein after developing claim 4 , the thickness of the second layer is reduced by less than about 400A.6. The method of claim 1 , wherein the lithography is an immersion lithography and wherein a portion claim 1 , but not all claim 1 , of the resist layer becomes hydrophilic in response to an immersion fluid used in the immersion lithography.7. The method of claim 1 , wherein the exposing is optical and of a wavelength from a group associated with KrF claim 1 , ArF claim 1 , extreme ultra-violet (EUV) claim 1 , and e-beam.8. The method of claim 1 , wherein the structure of the switchable polymer includes one of a lower molecular weight than the resist polymer claim 1 , a different polarity from the resist polymer claim 1 , a different hydrophobic/hydrophilic ratio from the resist polymer claim 1 , a different solubility from the resist polymer claim 1 , or a different hydrogen bonding from the resist polymer.10. The method of claim 9 , ...

Подробнее
19-12-2013 дата публикации

ANTI-NGF COMPOSITIONS AND USE THEREOF

Номер: US20130336975A1
Принадлежит:

The present invention is directed to antibodies and fragments thereof having binding specificity for NGF. Another embodiment of this invention relates to the antibodies described herein, and binding fragments thereof, comprising the sequences of the V, Vand CDR polypeptides described herein, and the polynucleotides encoding them. The invention also contemplates conjugates of anti-NGF antibodies and binding fragments thereof conjugated to one or more functional or detectable moieties. The invention also contemplates methods of making said anti-NGF antibodies and binding fragments thereof. Embodiments of the invention also pertain to the use of anti-NGF antibodies, and binding fragments thereof, for the diagnosis, assessment and treatment of diseases and disorders associated with NGF. 1119-. (canceled)120. An anti-human NGF antibody or antibody fragment thereof which specifically binds to the same or overlapping epitope(s) and/or competes for binding to the same or overlapping epitope(s) on an intact NGF polypeptide or fragment thereof as an anti-human NGF antibody selected from the group consisting of Ab1 , Ab2 , Ab3 , Ab4 , Ab5 , Ab6 , Ab7 , Ab8 , Ab9 , Ab10 , Ab11 , Ab12 , Ab13 , Ab14 , Ab15 , Ab16 , Ab17 , Ab18 , Ab19 , Ab20 , and Ab21 or is an antibody or antibody fragment comprising the same CDRs as any of Ab1 , Ab2 , Ab3 , Ab4 , Ab5 , Ab6 , Ab7 , Ab8 , Ab9 , Ab10 , Ab11 , Ab12 , Ab13 , Ab14 , Ab15 , Ab16 , Ab17 , Ab18 , Ab19 , Ab20 , and Ab21.121. The antibody of claim 120 , wherein:{'sub': '2', '(i) said antibody fragment is selected from an Fab fragment, an Fab′ fragment, scFv, or an F(ab′)fragment;'}{'sub': H', 'L, '(ii) said antibody or fragment comprises a Vpolypeptide at least 90% identical to one selected from those in SEQ ID NO: 3, 13, 23, 33, 43, 53, 63, 73, 83, 93, 103, 113, 123, 133, 143, 153, 163, 173, 183, 193, or 402 and/or Vpolypeptide sequence at least 90% identical to one selected from: SEQ ID NO: 1, 11, 21, 31, 41, 51, 61, 71, 81, 91, 101, 111 ...

Подробнее
02-01-2014 дата публикации

OVERLAY MARK AND METHOD OF MEASURING THE SAME

Номер: US20140002822A1

A device having an overlay mark over a substrate and a method of adjusting multi-layer overlay alignment using the overlay mark for accuracy are disclosed. The overlay mark includes a first feature in a first layer, having a plurality of first alignment segments substantially parallel to each other extending only along an X direction; a second feature in a second layer over the first layer, having a plurality of second alignment segments substantially parallel to each other extending along a Y direction different from the X direction; and a third feature in a third layer over the second layer, having a plurality of third alignment segments substantially parallel to each other extending along the X direction and a plurality of fourth alignment segments substantially parallel to each other extending along the Y direction. 1. An overlay mark , comprising:a first feature extending only along a first longitudinal direction;a second feature extending along a second longitudinal direction different from the first longitudinal direction; anda third feature extending along the first longitudinal direction and the second longitudinal direction;wherein the first feature is in a first layer, the second feature is in a second layer over the first layer, and the third feature is in a third layer over the second layer.2. The overlay mark of claim 1 , wherein the first longitudinal direction is substantially perpendicular to the second longitudinal direction.3. The overlay mark of claim 1 , wherein the first feature comprises a plurality of alignment segments substantially parallel to each other.4. The overlay mark of claim 1 , wherein the second feature comprises a plurality of alignment segments substantially parallel to each other.5. The overlay mark of claim 1 , wherein the third feature comprises a plurality of alignment segments substantially parallel to each other extending along the first longitudinal direction and a plurality of alignment segments substantially parallel to ...

Подробнее
16-01-2014 дата публикации

APPARATUS AND METHOD FOR RESIST COATING AND DEVELOPING

Номер: US20140017615A1
Автор: Chang Ching-Yu

An apparatus includes a chuck, a first drain cup and second drain cup with two separately drain lines connected to each drain cup. The second drain cup is integrated with the first drain cup and located on top of the first drain cup. The different based chemical wastes can be collected into the separated drain cups and furthermore into the different drain lines and waste tanks. Accordingly, different based photo resists and developers can be used at the same apparatus by adjusting the chuck position to save the coating and develop tool and clean room space and furthermore the production cost. 1. An apparatus , the apparatus comprising:a chuck configured to secure a substrate;a first drain cup within which the chuck is configured to operate when a first based chemical is being dispensed;a second drain cup integrated with the first drain cup, wherein the second drain cup is configured for the chuck to operate when a second based chemical is being dispensed;a first drain line coupled with the first drain cup for collecting a first based chemical waste derived from the first based chemical; anda second drain line coupled with the second drain cup for collecting a second based chemical waste derived from the second based chemical.2. The apparatus of claim 1 , wherein the chuck operates in the first drain cup and the second drain cup.3. The apparatus of claim 1 , wherein the first based chemical is different than the second based chemical.4. The apparatus of claim 3 , wherein the difference between the first based chemical and the second based chemical is a physical phase difference.5. The apparatus of claim 4 , wherein the first based chemical and the second based chemical cannot dissolve each other.6. The apparatus of claim 1 , wherein the first based chemical waste is collected into the first drain line when the chuck operates in the first drain cup.7. The apparatus of claim 1 , wherein the second based chemical waste is collected into the second drain line when the ...

Подробнее
20-03-2014 дата публикации

METHOD OF LITHOGRAPHY

Номер: US20140080065A1

A lithography method of manufacturing integrated circuits is disclosed. A combination photoalignment-photoresist layer is formed on a substrate. A treatment is performed on the combination photoalignment-photoresist layer. The combination photoalignment-photoresist layer is exposed to a predetermined pattern. The combination photoalignment-photoresist layer is developed to form a pattern and expose a portion of the substrate. 1. A method comprising:forming a combination photoalignment-photoresist layer over a substrate;performing a treatment to the combination photoalignment-photoresist layer;exposing the combination photoalignment-photoresist layer to a predetermined pattern; anddeveloping the combination photoalignment-photoresist layer to form a pattern and expose a portion of the substrate.2. The method of claim 1 , wherein the combination photoalignment-photoresist layer is a thickness range from 10 nm to 100 nm.3. The method of claim 1 , wherein the combination photoalignment-photoresist layer is composed of a polymer compound.4. The method of claim 1 , wherein the treatment to the combination photoalignment-photoresist layer alters the orientation claim 1 , organization claim 1 , or alignment of molecules of the combination photoalignment-photoresist layer.5. The method of claim 4 , wherein the treatment to the combination photoalignment-photoresist layer comprises exposing the combination photoalignment-photoresist layer to ultraviolet radiation.6. The method of claim 4 , wherein the treatment to the combination photoalignment-photoresist layer comprises exposing the combination photoalignment-photoresist layer to heat.7. The method of claim 1 , wherein a polymerization treatment is performed on the combination photoalignment-photoresist layer.8. The method of claim 7 , wherein the treatment to the combination photoalignment-photoresist layer comprises exposing the combination photoalignment-photoresist layer to ultraviolet radiation.9. The method of claim ...

Подробнее
05-01-2017 дата публикации

Priming Material for Substrate Coating

Номер: US20170002208A1
Принадлежит:

A coating technique and a priming material are provided. In an exemplary embodiment, the coating technique includes receiving a substrate and applying a priming material to the substrate. The applying of the priming material may include rotating the substrate to disperse the priming material radially on the substrate. In the embodiment, the priming material includes a solvent with at least six carbon atoms per molecule. A film-forming material is applied to the substrate on the priming material, and the application includes rotating the substrate to disperse the film-forming material radially on the substrate. The priming material and the film-forming material are evaporated to leave a component of the film-forming material in a solid form. In various embodiments, the priming material is selected based on at least one of an evaporation rate, a viscosity, or an intermolecular force between the priming material and the film-forming material. 1. A method of film formation , the method comprising:receiving a substrate;applying a priming material to the substrate, wherein the priming material includes at least two solvents, a first solvent with at least six carbon atoms per molecule and a second solvent with at least one hydrogen bond donor group or acceptor group;applying a film-forming material to the substrate on the priming material; andevaporating the priming material and the film-forming material to leave a component of the film-forming material.2. The method of claim 1 , wherein the applying of the priming material includes rotating the substrate to disperse the priming material radially on the substrate claim 1 , and wherein the applying of the film-forming material includes rotating the substrate to disperse the film-forming material radially on the substrate3. The method of claim 1 , wherein the the first solvent is decane.4. The method of claim 1 , wherein the two solvents are selected to achieve at least two different targets of an evaporation rate target ...

Подробнее
02-01-2020 дата публикации

PATTERN FORMATION METHOD AND MATERIAL FOR MANUFACTURING SEMICONDUCTOR DEVICES

Номер: US20200004151A1
Принадлежит:

In a pattern formation method, a bottom layer is formed over an underlying layer. A middle layer is formed over the bottom layer. A resist pattern is formed over the middle layer. The middle layer is patterned by using the resist pattern as an etching mask. The bottom layer is patterned by using the patterned middle layer. The underlying layer is patterned. The middle layer contains silicon in an amount of 50 wt % or more and an organic material. In one or more of the foregoing and following embodiments, an annealing operation is further performed after the middle layer is formed. 1. A pattern formation method comprising:forming a bottom layer over an underlying layer;forming a middle layer over the bottom layer;forming a resist pattern over the middle layer;patterning the middle layer by using the resist pattern as an etching mask;patterning the bottom layer by using the patterned middle layer; andpatterning the underlying layer,wherein the middle layer contains silicon in an amount of 50 wt % or more and an organic material.2. The pattern formation method of claim 1 , further comprising performing an annealing operation after the middle layer is formed.3. The pattern formation method of claim 2 , wherein a temperature of the annealing operation is in a range from 200° C. to 500° C.4. The pattern formation method of claim 2 , wherein the annealing operation is thermal baking on a baking plate.5. The pattern formation method of claim 4 , wherein a process time of the thermal baking is in a range from 30 sec to 300 sec.6. The pattern formation method of claim 2 , wherein the annealing operation is lamp annealing.7. The pattern formation method of claim 2 , wherein the annealing operation is laser annealing.8. The pattern formation method of claim 2 , wherein a thickness of the middle layer after the annealing operation is in a range from 15 nm to 90 nm.9. The pattern formation method of claim 1 , wherein the bottom layer is made of an organic material.10. The pattern ...

Подробнее
03-01-2019 дата публикации

Lithography Techniques for Reducing Resist Swelling

Номер: US20190004430A1
Принадлежит:

The present disclosure provides lithography resist materials and corresponding lithography techniques for improving lithography resolution, in particular, by reducing swelling of resist layers during development. An exemplary lithography method includes performing a treatment process on a resist layer to cause cross-linking of acid labile group components of the resist layer via cross-linkable functional components, performing an exposure process on the resist layer, and performing a development process on the resist layer. In some implementations, the resist layer includes an exposed portion and an unexposed portion after the exposure process, and the treatment process reduces solubility of the unexposed portion to a developer used during the development process by increasing a molecular weight of a polymer in the unexposed portion. The treatment process is performed before or after the exposure process. The treatment process can include performing a thermal treatment and/or an electromagnetic wave treatment to heat the resist layer. 1. A lithography method comprising:performing a treatment process on a resist layer to cause cross-linking of acid labile group (ALG) components of the resist layer via cross-linkable functional components;performing an exposure process on the resist layer; andperforming a development process on the resist layer, thereby forming a patterned resist layer over a workpiece.2. The lithography method of claim 1 , wherein the resist layer includes an exposed portion and an unexposed portion after the exposure process claim 1 , and further wherein the treatment process reduces solubility of the unexposed portion to a developer used during the development process by increasing a molecular weight of a polymer in the unexposed portion.3. The lithography method of claim 2 , wherein the developer removes the exposed portion.4. The lithography method of claim 1 , wherein the treatment process is performed before performing the exposure process.5. ...

Подробнее
07-01-2016 дата публикации

Photoresist and Method of Manufacture

Номер: US20160005595A1
Принадлежит:

A system and method for anti-reflective layers is provided. In an embodiment the anti-reflective layer comprises a floating additive in order to form a floating additive region along a top surface of the anti-reflective layer after the anti-reflective layer has dispersed. The floating additive may comprise an additive group which will decompose along with a fluorine unit bonded to the additive group which will decompose. Additionally, adhesion between the middle layer and the photoresist may be increased by applying an adhesion promotion layer using either a deposition process or phase separation, or a cross-linking may be performed between the middle layer and the photoresist. 1. A method of manufacturing a semiconductor device , the method comprising: a group to be decomposed; and', 'a fluorine group bonded to the group to be decomposed; and, 'dispensing an anti-reflective material over a substrate to form an anti-reflective coating layer, the anti-reflective material having a first concentration of a floating additive, wherein the floating additive further comprisesforming a floating region adjacent to a top surface of the anti-reflective coating, the floating region having a second concentration of the floating additive greater than the first concentration.2. The method of claim 1 , wherein the group to be decomposed further comprises an acid labile group.3. The method of claim 1 , wherein the floating region further comprises a catalyst.4. The method of claim 3 , wherein the catalyst is a thermal acid generator.5. The method of claim 4 , further comprising baking the floating region claim 4 , wherein the baking the floating region initiates a reaction between the thermal acid generator and the floating additive which cleaves the group to be decomposed.6. The method of claim 1 , wherein the fluorine group is a substituted fluorine.7. The method of claim 1 , further comprising applying a middle layer to the floating region.8. A method of manufacturing a ...

Подробнее
13-01-2022 дата публикации

SEMICONDUCTOR STRUCTURE AND METHODS OF FORMING THE SAME

Номер: US20220013407A1

A semiconductor structure and method of forming the same are provided. The method includes: forming a plurality of mandrel patterns over a dielectric layer; forming a first spacer and a second spacer on sidewalls of the plurality of mandrel patterns, wherein a first width of the first spacer is larger than a second width of the second spacer; removing the plurality of mandrel patterns; patterning the dielectric layer using the first spacer and the second spacer as a patterning mask; and forming conductive lines laterally aside the dielectric layer. 1. A method of forming a semiconductor structure , comprising:forming a plurality of mandrel patterns over a dielectric layer;forming a first spacer and a second spacer on sidewalls of the plurality of mandrel patterns, wherein a first width of the first spacer is larger than a second width of the second spacer;removing the plurality of mandrel patterns;patterning the dielectric layer using the first spacer and the second spacer as a patterning mask; andforming conductive lines laterally aside the dielectric layer.2. The method of claim 1 , wherein patterning the dielectric layer comprises forming a first dielectric pattern defined by the first spacer and a second dielectric pattern defined by the second spacer.3. The method of claim 2 , wherein forming the conductive lines comprises:forming first conductive lines spaced apart by the first dielectric pattern therebetween; andforming second conductive lines spaced apart by the second dielectric pattern therebetween,wherein a first spacing between the first conductive lines is larger than a second spacing between the second conductive lines.4. The method of claim 1 , further comprising forming a hard mask structure between the dielectric layer and the plurality of mandrel patterns claim 1 , wherein patterning the dielectric layer comprises:patterning the hard mask structure to transfer patterns of the first spacer and the second spacer into the hard mask structure, thereby ...

Подробнее
02-01-2020 дата публикации

Materials and Methods for Forming Resist Bottom Layer

Номер: US20200006048A1
Принадлежит:

A method includes forming a bottom layer over a semiconductor substrate, where the bottom layer includes a polymer bonded to a first cross-linker and a second cross-linker, the first cross-linker being configured to be activated by ultraviolet (UV) radiation and the second cross-linker being configured to be activated by heat at a first temperature. The method then proceeds to exposing the bottom layer to a UV source to activate the first cross-linker, resulting in an exposed bottom layer, where the exposing activates the first cross-linker. The method further includes baking the exposed bottom layer, where the baking activates the second cross-linker. 1. A method comprising:forming a bottom layer over a semiconductor substrate, wherein the bottom layer includes a polymer bonded to a first cross-linker and a second cross-linker, wherein the first cross-linker is configured to be activated by ultraviolet (UV) radiation, and wherein the second cross-linker is configured to be activated by heat at a first temperature;exposing the bottom layer to a UV source, resulting in an exposed bottom layer, wherein the exposing activates the first cross-linker; andbaking the exposed bottom layer, wherein the baking activates the second cross-linker.2. The method of claim 1 , wherein the first cross-linker includes HC═CH—R— claim 1 , and wherein R includes —(C═O)— claim 1 , —(C═O)—O— claim 1 , —CH═CH— claim 1 , phenyl claim 1 , phenol claim 1 , or combinations thereof.3. The method of claim 1 , wherein the second cross-linker includes phenyl claim 1 , alkyl-substituted phenyl claim 1 , epoxy claim 1 , hydroxyl claim 1 , ether claim 1 , ester claim 1 , novolac claim 1 , or combinations thereof.4. The method of claim 1 , wherein the baking is a first baking process claim 1 , further comprising performing a second baking process to the bottom layer before the exposing of the bottom layer claim 1 , the second baking process being configured to smooth a top surface of the bottom layer.5 ...

Подробнее
14-01-2016 дата публикации

Photoresist Layer and Method

Номер: US20160013041A1

A system and method for middle layers is provided. In an embodiment the middle layer comprises a floating component in order to form a floating region along a top surface of the middle layer after the middle layer has dispersed. The floating component may be a polymer with a floating group incorporated into the polymer. The floating group may comprise a fluorine atom.

Подробнее
09-01-2020 дата публикации

METHOD FOR FORMING SEMICONDUCTOR STRUCTURE

Номер: US20200013618A1

A method for forming a semiconductor device structure is provided. The method includes forming a material layer over a substrate and forming a resist layer over the material layer. The resist layer includes an inorganic material and an auxiliary. The inorganic material includes a plurality of metallic cores and a plurality of first linkers bonded to the metallic cores. The method includes exposing a portion of the resist layer. The resist layer includes an exposed region and an unexposed region. In the exposed region, the auxiliary reacts with the first linkers. The method also includes removing the unexposed region of the resist layer by using a developer to form a patterned resist layer. The developer includes a ketone-based solvent having a formula (a) or the ester-based solvent having a formula (b). 2. The method for forming the semiconductor structure as claimed in claim 1 , wherein Ris CH claim 1 , and Ris linear or branched C-Calkyl claim 1 , or linear or branched C-Calkoxy.3. The method for forming the semiconductor structure as claimed in claim 1 , wherein Ris CH claim 1 , and Ris linear or branched Calkyl.4. The method for forming the semiconductor structure as claimed in claim 1 , wherein Ris CH claim 1 , and Ris linear or branched C-Calkyl.5. The method for forming the semiconductor structure as claimed in claim 1 , wherein Ris CH claim 1 , and Ris linear or branched C-Calkyl.6. The method for forming the semiconductor structure as claimed in claim 1 , wherein Ris CH claim 1 , and Ris linear Calkyl.7. The method for forming the semiconductor structure as claimed in claim 1 , wherein Ris CHO claim 1 , and Ris linear or branched C-Calkyl.8. The method for forming the semiconductor structure as claimed in claim 1 , wherein the auxiliary comprises a plurality of second linkers claim 1 , and the second linkers react with the first linkers during the exposure process to form a plurality of chemical bonds between the auxiliary and the inorganic material.9. The ...

Подробнее
19-01-2017 дата публикации

Photolithography Process and Materials

Номер: US20170017158A1
Принадлежит:

One of the broader forms of the present disclosure relates to a method of making a semiconductor device. The method includes exposing a photoresist layer to a radiation source and applying a hardening agent to the photoresist layer. Therefore after applying the hardening agent a first portion of the photoresist layer has a higher glass transition temperature, higher mechanical strength, than a second portion of the photoresist layer. 1. A method comprising:exposing a photoresist layer to a radiation source; andapplying a hardening agent to the photoresist layer, wherein after applying the hardening agent, a first portion of the photoresist layer has a higher glass transition temperature (Tg), or a higher mechanical strength, than a second portion of the photoresist layer.2. The method of claim 1 , further comprising developing the photoresist layer after applying the hardening agent to the photoresist layer.3. The method of claim 1 , wherein applying the hardening agent to the photoresist layer includes developing the photoresist layer while applying the hardening agent.4. The method of claim 3 , wherein the hardening agent is blended in the developing solution.5. The method of claim 1 , wherein the first portion includes a top portion of the photoresist layer and the second portion includes a bottom portion of the photoresist layer positioned directly under the top portion of the photoresist layer.6. The method of claim 2 , wherein the hardening agent comprises a chemical structure as:{'br': None, 'sub': f', 'y, 'R—(P)'}{'sub': 'f', 'wherein Rrepresents a spacer group,'}P represents a polar group,y represents an integer, which is at least two,{'sub': f', '2, 'wherein the Ris selected from the group consisting of aromatic carbon ring, or straight or cyclic alkyl, alkoxyl, fluoroalkyl, fluoroalkoxyl, alkene, alkyne, hydroxyl, ketone, aldehyde, carbonate, carboxylic acid, ester, ether, amide, amine, imine, imide, azide, nitrate, nitrile and nitrite or thiol spacer ...

Подробнее
03-02-2022 дата публикации

SPIN ON CARBON COMPOSITION AND METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE

Номер: US20220037150A1
Принадлежит:

A method of manufacturing a semiconductor device includes forming a spin on carbon layer comprising a spin on carbon composition over a semiconductor substrate. The spin on carbon layer is first heated at a first temperature to partially crosslink the spin on carbon layer. The spin on carbon layer is second heated at a second temperature to further crosslink the spin on carbon layer. An overlayer is formed over the spin on carbon layer. The second temperature is higher than the first temperature. 1. A method of manufacturing a semiconductor device , comprising:forming a spin on carbon layer comprising a spin on carbon composition over a semiconductor substrate;first heating the spin on carbon layer at a first temperature to partially crosslink the spin on carbon layer;second heating the spin on carbon layer at a second temperature to further crosslink the spin on carbon layer; andforming an overlayer over the spin on carbon layer,wherein the second temperature is higher than the first temperature.2. The method according to claim 1 , wherein the spin on carbon composition comprises a carbon backbone polymer claim 1 , a first crosslinker claim 1 , and a second crosslinker claim 1 , wherein the first and second crosslinkers are different from each other.4. The method according to claim 3 , wherein R claim 3 , OR claim 3 , and NR each comprise a chain structure claim 3 , a ring structure claim 3 , or a 3-D structure.5. The method according to claim 2 , wherein the second crosslinker is one or more selected from the group consisting of A-(OH) claim 2 , A-(OR′) claim 2 , A-(C═C) claim 2 , and A-(C≡C) claim 2 ,where A is a monomer, oligomer, or a polymer having a molecular weight ranging from 100 to 20,000;R′ is an alkyloxy group, an alkenyl group, or an alkynyl group; andx ranges from 2 to 1000.6. The method according to claim 2 , wherein a concentration of the first and second crosslinkers in the spin on carbon composition ranges from 20 wt. % to 50 wt. % of the total ...

Подробнее
16-01-2020 дата публикации

Extreme Ultraviolet Lithography System

Номер: US20200019070A1
Принадлежит:

Semiconductor systems, apparatuses and methods are provided. In one embodiment, an extreme ultraviolet lithography system includes a substrate stage configured to secure a substrate at a first vertical level, wherein the substrate is deposited with a resist layer thereon; at least one electrode positioned at a second vertical level above the first vertical level; and a power source configured to apply an electric field across the at least one electrode and the substrate stage, including across a thickness of the resist layer when the substrate is secured on the substrate stage. 1. A lithography system , comprising:a substrate stage configured to secure a substrate at a first vertical level, wherein the substrate is deposited with a resist layer thereon;at least one electrode positioned at a second vertical level above the first vertical level;a power source configured to apply an electric field across the at least one electrode and the substrate stage, including across a thickness of the resist layer when the substrate is secured on the substrate stage; anda projection optics module including a plurality of optic components, wherein one of the at least one electrode is positioned above at least one of the plurality of optic components.2. The lithography system of claim 1 , further comprising a lower electrode positioned below the substrate stage claim 1 , wherein the power source is configured to apply the electric field between the at least one electrode and the lower electrode.3. The lithography system of claim 1 , wherein the at least one electrode is positioned around a circumference of the substrate stage.4. The lithography system of claim 1 , wherein the at least one electrode comprises a conductive wire mesh.5. The lithography system of claim 1 , wherein the power source comprises a direct current (DC) power source.6. The lithography system of claim 1 , wherein the power source comprises an alternating current (AC) power source.7. The lithography system of ...

Подробнее
26-01-2017 дата публикации

COMMUNICATION METHOD FOR A SMART PHONE WITH A TEXT RECOGNITION MODULE

Номер: US20170026502A1
Принадлежит:

A portable device can transmit information through one of a mobile phone network and an Internet, wherein the portable device includes a text-based communication module to allow a user may synchronously transmit or receive data through a local area network, wherein the data is text, audio, video or the combination thereof. The text-based communication module of the portable device includes a text-to-speech recognition module used to convert a text data for outputting the text data by vocal, and a read determination module for determining read target terminals and unread target terminals when a user of the portable phone device activates the read determination module. 1. A method for a smart phone having a wireless local area network (LAN) module to communicate through one of a mobile phone network and an Internet , the method comprising:providing a text based communication module to said smart phone with said wireless local area network (LAN) module to access said internet and establish communication with a target terminal via said Internet using said wireless LAN module, wherein said text based communication module includes a text-to-speech recognition module;wirelessly coupling said text based communication module to said Internet, via said wireless LAN module, to enable a user to communicate with said target terminal, via said Internet using said wireless LAN module;wirelessly receiving a text data from said target terminal, via said Internet by said text based communication module; andconverting said text data by said text-to-speech recognition module to output said text data by vocal.2. The method as claimed in claim 1 , wherein said wireless LAN module is in compliant with a Wi-Fi standard.3. The method as claimed in claim 1 , wherein said wireless LAN module is in compliant with a 802.11 standard.4. The method as claimed in claim 1 , wherein said wireless LAN module includes Bluetooth standard compatible module.5. The method as claimed in claim 1 , wherein ...

Подробнее
02-02-2017 дата публикации

Method and Apparatus of Patterning A Semiconductor Device

Номер: US20170032961A1
Автор: Chang Ching-Yu, ZI An-Ren
Принадлежит:

A method of making a semiconductor device is provided. The method includes forming a photoresist material over a substrate, the photoresist material having a polymer that includes a backbone having a segment and a linking group, the segment including a carbon chain and an ultraviolet (UV) curable group, the UV curable group coupled to the carbon chain and to the linking group; performing a first exposure process that breaks the backbone of the polymer via decoupling the linking group from the connected UV curable group of each segment; performing a second exposure process to form a patterned photoresist layer; and developing the patterned photoresist layer. 1. A method of fabricating a semiconductor device , comprising:forming a photoresist material over a substrate, the photoresist material having a polymer that includes a backbone having a segment and a linking group, the segment including a carbon chain and an ultraviolet (UV) curable group, the UV curable group coupled to the carbon chain and to the linking group;performing a first exposure process that breaks the backbone of the polymer via decoupling the linking group from the connected UV curable group of each segment;performing a second exposure process to form a patterned photoresist layer; anddeveloping the patterned photoresist layer.2. The method of claim 1 , wherein performing the first exposure process includes performing the first exposure process without a photomask.3. The method of claim 1 , wherein performing the second exposure process includes performing the second exposure with a photomask.4. The method of claim 1 , wherein performing the first exposure process includes exposing the photoresist material to an UV light source.5. The method of claim 4 , wherein the UV light source uses wavelength between 10 nanometers and 400 nanometers.6. The method of claim 1 , wherein performing the second exposure process includes exposing the photoresist material to a radiation source that includes at least ...

Подробнее
04-02-2021 дата публикации

ADJUSTABLE FASTENING DEVICE FOR PLASMA GAS INJECTORS

Номер: US20210035777A1
Принадлежит:

An embodiment is an apparatus, such as a plasma chamber. The apparatus includes chamber walls and a chamber window defining an enclosed space. A chamber window is disposed between a plasma antenna and a substrate support. A gas delivery source is mechanically coupled to the chamber window. The gas delivery source comprises a gas injector having a passageway, a window at a first end of the passageway, and a nozzle at a second end of the passageway. The nozzle of the gas delivery source is disposed in the enclosed space. A fastening device is mechanically coupled to the gas delivery source. The fastening device is adjustable to adjust a sealing force against the gas injector. 1. An apparatus comprising:chamber walls and a chamber window defining an enclosed space;a substrate support, the chamber window being disposed above the substrate support; a gas injector having a passageway including a first end, and a nozzle at a second end of the passageway, the nozzle being disposed in the enclosed space, the gas injector including an upper terminal end and a lower terminal end;', 'a window at the upper terminal end of the gas injector;', 'a seal directly contacting the window and the seal contacting the gas injector; and', 'a fastening device mechanically coupled to the the gas injector and including a gas injector cover having a bottom surface that is sloped at an angle in a range from about 1 degree to about 10 degrees relative to horizontal, the fastening device being adjustable to adjust a sealing force between the window and the seal., 'a gas delivery source mechanically coupled to the chamber window, the gas delivery source comprising2. The apparatus of claim 1 , further comprising a plasma antenna.3. The apparatus of claim 2 , wherein the plasma antenna comprises a plurality of coils.4. The apparatus of claim 1 , wherein the chamber window permits an RF energy to be transmitted from the plasma antenna into the enclosed space.5. The apparatus of claim 2 , wherein the ...

Подробнее
08-02-2018 дата публикации

Metal-compound-removing solvent and method in lithography

Номер: US20180039182A1

A photoresist layer is coated over a wafer. The photoresist layer includes a metal-containing material. An extreme ultraviolet (EUV) lithography process is performed to the photoresist layer to form a patterned photoresist. The wafer is cleaned with a cleaning fluid to remove the metal-containing material. The cleaning fluid includes a solvent having Hansen solubility parameters of delta D in a range between 13 and 25, delta P in a range between 3 and 25, and delta H in a range between 4 and 30. The solvent contains an acid with an acid dissociation constant less than 4 or a base with an acid dissociation constant greater than 9.

Подробнее
08-02-2018 дата публикации

METAL-COMPOUND-REMOVING SOLVENT AND METHOD IN LITHOGRAPHY

Номер: US20180040474A1
Принадлежит:

A wafer is rinsed with a solvent. The wafer has an increased hydrophobicity as a result of being rinsed with the solvent. A metal-containing material is formed over the wafer after the wafer has been rinsed with the solvent. One or more lithography processes are performed at least in part using the metal-containing material. The metal-containing material is removed during or after the performing of the one or more lithography processes. The increased hydrophobicity of the wafer facilitates a removal of the metal-containing material. 1. A method of fabricating a semiconductor device , comprising:rinsing a wafer with a solvent, wherein the wafer has an increased hydrophobicity as a result of being rinsed with the solvent;forming a metal-containing material over the wafer after the wafer has been rinsed with the solvent;performing one or more lithography processes at least in part using the metal-containing material; andremoving the metal-containing material during or after the performing of the one or more lithography processes, wherein the increased hydrophobicity of the wafer facilitates a removal of the metal-containing material.2. The method of claim 1 , wherein the rinsing comprises configuring a contact angle of the solvent with respect to water.3. The method of claim 2 , wherein the configuring the contact angle comprises including an additive as a part of the solvent claim 2 , the additive having a contact angle that exceeds 75 degrees with respect to water.4. The method of claim 1 , wherein the rinsing comprises applying a carbon fluoride material as a part of the solvent.11. The method of claim 1 , wherein the forming the metal-containing material comprises forming a photoresist as the metal-containing material.12. The method of claim 11 , wherein:the forming the photoresist comprises coating an extreme ultraviolet (EUV) photoresist; andwherein the performing the one or more lithography processes comprises performing one or more EUV lithography processes.13. ...

Подробнее
07-02-2019 дата публикации

Photoresist and Method

Номер: US20190041749A1
Принадлежит:

Shrinkage and mass losses are reduced in photoresist exposure and post exposure baking by utilizing a small group which will decompose. Alternatively a bulky group which will not decompose or a combination of the small group which will decompose along with the bulky group which will not decompose can be utilized. Additionally, polar functional groups may be utilized in order to reduce the diffusion of reactants through the photoresist. 1. A method of manufacturing a semiconductor device , the method comprising: a hydrocarbon backbone;', 'a first acid labile group, wherein the first acid labile group has less than 9 carbon atoms, wherein the first acid labile group comprises a cycloalkyl group and further comprises a C4-C5 alkyl group, an acetyl group, or an acetyl alkyl group; and', 'a second acid labile group, wherein the second acid labile group comprises greater than 9 carbon atoms;, 'applying a photoresist to a layer to be patterned, wherein the photoresist comprisesexposing the photoresist to a patterned light source; anddeveloping the photoresist after the exposing the photoresist.2. The method of claim 1 , wherein the developing the photoresist further comprises applying a negative tone developer to the photoresist after the exposing the photoresist.3. The method of claim 1 , wherein the photoresist further comprises a bulky group which will not decompose claim 1 , wherein the bulky group which will not decompose comprises greater than 9 carbon atoms.4. The method of claim 3 , wherein the bulky group which will not decompose has a loading of greater than 5%.5. The method of claim 1 , wherein after the exposing the photoresist to the patterned light source claim 1 , the first acid labile group and the second acid labile group cleave from the hydrocarbon backbone and degas from the photoresist.6. The method of claim 1 , wherein monomers comprising the first acid labile group are greater than 5% of the monomers within the photoresist.7. The method of claim 1 , ...

Подробнее
07-02-2019 дата публикации

METHOD FOR FORMING SEMICONDUCTOR STRUCTURE

Номер: US20190043710A1

A method for forming a semiconductor device structure is provided. The method includes forming a material layer over a substrate and providing a resist solution. The resist solution includes a plurality of first polymers and a plurality of second polymers, each of the first polymers includes a first polymer backbone, and a first acid-labile group (ALG) with a first activation energy bonded to the first polymer backbone. Each of the second polymers includes a second polymer backbone, and a second acid-labile group with a second activation energy bonded to the second polymer backbone, the second activation energy is greater than the first activation energy. The method includes forming a resist layer over the material layer, and the resist layer includes a top portion and a bottom portion, and the first polymers diffuse to the bottom portion, and the second polymers diffuse to the top portion. 1. A method for forming a semiconductor structure , comprising:forming a material layer over a substrate;providing a resist solution, wherein the resist solution comprises a plurality of first polymers and a plurality of second polymers, each of the first polymers comprises a first polymer backbone and a first acid-labile group (ALG) with a first activation energy bonded to the first polymer backbone, each of the second polymers comprises a second polymer backbone and a second acid-labile group with a second activation energy bonded to the second polymer backbone, wherein the second activation energy is greater than the first activation energy;forming a resist layer over the material layer, wherein the resist layer comprises a top portion and a bottom portion, the first polymers diffuse to the bottom portion, and the second polymers diffuse to the top portion;exposing a portion of the resist layer by performing an exposure process;removing a portion of the resist layer to form a patterned resist layer;patterning the material layer by using the patterned resist layer as a mask; ...

Подробнее
19-02-2015 дата публикации

Methods for Cleaning Membranes used for Filtering Chemicals

Номер: US20150050598A1

A method includes performing a first cleaning step to clean a membrane, wherein during the first cleaning step, a first solvent passes through the membrane. After the first cleaning step, a second cleaning step is performed to clean the membrane. During the second cleaning step, a second solvent passes through the membrane. The first solvent and the second solvent are in different groups among three solvent groups, wherein the three solvent groups include a non-polar solvent group, a polar aprotic solvent group, and a polar protic solvent group. 1. A method comprising:performing a first cleaning step to clean a membrane, wherein during the first cleaning step, a first solvent passes through the membrane; andafter the first cleaning step, performing a second cleaning step to clean the membrane, wherein during the second cleaning step, a second solvent passes through the membrane, wherein the first solvent and the second solvent are in different groups among three solvent groups, and wherein the three solvent groups include a non-polar solvent group, a polar aprotic solvent group, and a polar protic solvent group.2. The method of further comprising filtering a photo resist using the membrane claim 1 , wherein the method further comprises performing a photolithography process using the filtered photo resist.3. The method of further comprising:after the second cleaning step, performing a third cleaning step on the membrane, wherein during the third cleaning step, a third solvent passes through the membrane, and wherein the first solvent, the second solvent, and the third solvent are in different groups among the three solvent groups.4. The method of further comprising claim 1 , after the first and the second cleaning steps claim 1 , flushing the membrane with water.5. The method of claim 1 , wherein the first solvent is selected from the non-polar solvent group claim 1 , and the second solvent is selected from the polar aprotic solvent group or the polar protic solvent ...

Подробнее
13-02-2020 дата публикации

Priming Material for Organometallic Resist

Номер: US20200050109A1
Принадлежит:

Semiconductor systems and methods are provided. In an embodiment, a method of film formation includes receiving a substrate, dispensing a priming material on the substrate, and applying an organometallic resist solution over the priming material on the substrate, thereby forming an organometallic resist layer over the priming material. The priming material includes water. 1. A method of film formation , the method comprising:receiving a substrate;dispensing a priming material on the substrate, wherein the priming material comprises water; andapplying an organometallic resist solution over the priming material on the substrate, thereby forming an organometallic resist layer over the priming material.2. The method of claim 1 , further comprising:baking the priming material and the organometallic resist layer at a first temperature;irradiating the organometallic resist layer with a radiation source; andbaking the priming material and the organometallic resist layer at a second temperature different from the first temperature.3. The method of claim 2 , wherein the first temperature is between about 80° C. and about 120° C.4. The method of claim 2 , wherein the second temperature is greater than the first temperature.5. The method of claim 2 , wherein the radiation source is an extreme ultraviolet (EUV) source.6. The method of claim 1 , wherein the organometallic resist solution comprises an organometallic compound that includes tin (Sn) claim 1 , hafnium (Hf) claim 1 , zirconium (Zr) claim 1 , manganese (Mg) claim 1 , aluminum (Al) claim 1 , vanadium (V) claim 1 , titanium (Ti) claim 1 , chromium (Cr) claim 1 , cobalt (Co) claim 1 , nickel (Ni) claim 1 , copper (Cu) claim 1 , zinc (Zn) claim 1 , gallium (Ga) claim 1 , or iron (Fe).7. The method of claim 1 , wherein a water content of the organometallic resist layer is smaller than a water content of the priming material.8. The method of claim 1 , wherein the organometallic resist solution is substantially free of water. ...

Подробнее
13-02-2020 дата публикации

Resist Solvents for Photolithography Applications

Номер: US20200050110A1
Принадлежит:

A method includes providing a photoresist solution that includes a first solvent having a first volume and a second solvent having a second volume, where the first solvent is different from the second solvent and where the first volume is less than the second volume; dispersing the photoresist solution over a substrate to form a film, where the dispersing evaporates a portion of the first solvent and a portion of the second solvent such that a remaining portion of the first solvent is greater than a remaining portion of the second solvent; baking the film; after baking the film, exposing the film to form an exposed film; and developing the exposed film. 1. A method , comprising:providing a photoresist solution, wherein the photoresist solution includes a first solvent having a first volume and a second solvent having a second volume, wherein the first solvent is different from the second solvent, and wherein the first volume is less than the second volume;dispersing the photoresist solution over a substrate to form a film, wherein the dispersing evaporates a portion of the first solvent and a portion of the second solvent such that a remaining portion of the first solvent is greater than a remaining portion of the second solvent;baking the film;after baking the film, exposing the film, resulting in an exposed film; anddeveloping the exposed film.2. The method of claim 1 , wherein the first solvent includes diethylene glycol dimethyl ether claim 1 , triethylene glycol dimethyl ether claim 1 , dimethyl sulfoxide claim 1 , ethylene glycol claim 1 , glycerin claim 1 , hexamethylphosphoramide claim 1 , N-methyl-2-pyrrolidinone claim 1 , benzonitrile claim 1 , 1 claim 1 ,2-dichlorobenzene claim 1 , N claim 1 ,N dimethylacetamide claim 1 , 2-ethoxyethyl ether claim 1 , gamma-butyrolactone claim 1 , 1 claim 1 ,6-di-acetoxyhexane claim 1 , tri(propylene glycol) methyl ether claim 1 , propylene carbonate claim 1 , tetra(ethylene glycol) monomethyl ether claim 1 , or ...

Подробнее
23-02-2017 дата публикации

METHOD TO DEFINE MULTIPLE LAYER PATTERNS USING DOUBLE EXPOSURES

Номер: US20170052445A1
Принадлежит:

A method of fabricating a semiconductor device includes forming a first photoresist layer over a substrate, over which a protective layer material is deposited to form a protective layer. A second photoresist layer is formed over the protective layer. A first lithography exposure process is performed, through a first mask, to expose the first and second photoresist layers, and to form a bottom latent pattern. A second lithography exposure process is performed, through a second mask, to expose the first and second photoresist layers, and to form a top latent pattern, where the top latent pattern at least partially overlaps the bottom latent pattern. The first and second photoresist layers and the protective layer are developed to form a first main feature and a second main feature from the bottom and top latent patterns respectively, and an opening in the protective layer vertically aligned with the second main feature. 1. A method of fabricating a semiconductor device , comprising:forming a first photoresist layer over a substrate;depositing a protective layer material over the first photoresist layer to form a protective layer;forming a second photoresist layer over the protective layer;performing a first lithography exposure process, through a first mask, to expose the first photoresist layer and the second photoresist layer, and to form a bottom latent pattern in the first photoresist layer;performing a second lithography exposure process, through a second mask, to expose the first photoresist layer and the second photoresist layer, and to form a top latent pattern in the second photoresist layer, wherein the top latent pattern at least partially overlaps the bottom latent pattern; anddeveloping the first photoresist layer, the protective layer, and the second photoresist layer to form a first main feature from the bottom latent pattern, a second main feature from the top latent pattern, and an opening in the protective layer vertically aligned with the second ...

Подробнее
15-05-2014 дата публикации

Patterning Process and Chemical Amplified Photoresist Composition

Номер: US20140134538A1

A lithography method includes forming a photosensitive layer on a substrate, exposing the photosensitive layer, baking the photosensitive layer., and developing the exposed photosensitive layer. The photosensitive layer includes a polymer that turns soluble to a base solution in response to reaction with acid, a plurality of photo-acid generators (PAGs) that decompose to form acid in response to radiation energy, and a plurality of quenchers having boiling points distributed between about 200 C and about. 350 C. The quenchers also have molecular weights distributed between 300 Dalton and about 20000 Dalton, and are vertically distributed in the photosensitive layer such that a first concentration C1 at a top portion of the photosensitive layer is greater than a second concentration C2 at a bottom portion of the photosensitive layer.

Подробнее
04-03-2021 дата публикации

BIOLOGICAL DETECTION CARTRIDGE AND METHOD FOR PERFORMING THE SAME

Номер: US20210060557A1
Принадлежит:

A biological detection cartridge includes a detection unit. The detection unit includes an incubation unit, a port, a ventilation structure and a cover. The incubation unit includes a culture well. The port is disposed adjacent to a first side of the incubation unit. The ventilation structure is disposed adjacent to a second side of the incubation unit. The ventilation structure includes a plurality of channels in communication with the incubation unit. The cover is disposed over the incubation unit and the ventilation structure. 1. A biological detection cartridge comprising a detection unit , the detection unit comprising:an incubation unit comprising a culture well;a port disposed adjacent to a first side of the incubation unit;a ventilation structure disposed adjacent to a second side of the incubation unit, wherein the ventilation structure comprises a plurality of channels in communication with the incubation unit; anda cover disposed over the incubation unit and the ventilation structure.2. The biological detection cartridge according to claim 1 , wherein the ventilation structure comprises a plurality of ribs discretely arranged at a spacing interval and defining the plurality of channels.3. The biological detection cartridge according to claim 2 , wherein the plurality of ribs are in close contact with the cover claim 2 , and every two ribs of the plurality of ribs are separated by the spacing interval to define one of the plurality of channels claim 2 , wherein a diameter of the channel is in a range between 0.05 mm and 1 mm.4. The biological detection cartridge according to claim 1 , wherein the biological detection cartridge further comprises a quantitative structure claim 1 , which is disposed between the port and the incubation unit claim 1 , wherein the quantitative structure is covered by the cover claim 1 , and a gap is formed between the quantitative structure and the cover.5. The biological detection cartridge according to claim 4 , wherein the ...

Подробнее
02-03-2017 дата публикации

Developer for Lithography

Номер: US20170059993A1
Принадлежит:

A method for lithography patterning includes forming a material layer over a substrate; exposing a portion of the material layer to a radiation; and removing the exposed portion of the material layer in a developer, resulting in a patterned material layer. The developer comprises an organic solvent and a basic solute, wherein the organic solvent is more than 50% of the developer by weight. In an embodiment, the developer further comprises water that is less than 50% of the developer by weight. 1. A method for lithography patterning , comprising:forming a material layer over a substrate;exposing a portion of the material layer to a radiation; andremoving the exposed portion of the material layer in a positive tone developer, resulting in a patterned material layer, wherein the positive tone developer comprises an organic solvent and a basic solute, and wherein the organic solvent is more than 50% of the positive tone developer by weight.2. The method of claim 1 , wherein the positive tone developer further comprises water that is less than 50% of the positive tone developer by weight.3. The method of claim 1 , wherein the basic solute is less than 30% of the positive tone developer by weight.4. The method of claim 1 , wherein the organic solvent includes one of: an OH functional group claim 1 , an NH functional group claim 1 , an NHfunctional group claim 1 , an SH functional group claim 1 , an OMe functional group claim 1 , and an OEt functional group.5. The method of claim 1 , wherein the organic solvent has a molecular weight (Mw) less than 300.6. The method of claim 1 , wherein the organic solvent is one of: ethylene glycol claim 1 , diethylene glycol claim 1 , and propylene glycol.7. The method of claim 1 , wherein the basic solute is: an ionic base claim 1 , a non-ionic base claim 1 , or a combination thereof.8. The method of claim 1 , wherein the basic solute is an ionic base containing OHions.9. The method of claim 1 , wherein the basic solute is an ionic base ...

Подробнее
20-02-2020 дата публикации

Lithography Process and Material for Negative Tone Development

Номер: US20200057377A1
Принадлежит:

The present disclosure provides resist rinse solutions and corresponding lithography techniques that achieve high pattern structural integrity for advanced technology nodes. An example lithography method includes forming a resist layer over a workpiece, exposing the resist layer to radiation, developing the exposed resist layer using a developer that removes an unexposed portion of the exposed resist layer, thereby forming a patterned resist layer, and rinsing the patterned resist layer using a rinse solution. The developer is an organic solution, and the rinse solution includes water. 1. A lithography method comprising:forming a resist layer over a workpiece;exposing the resist layer to radiation;developing the exposed resist layer using a developer that removes an unexposed portion of the exposed resist layer, thereby forming a patterned resist layer; andrinsing the patterned resist layer using a rinse solution,wherein the developer is an organic solution, and wherein the rinse solution includes water.2. The lithography method of claim 1 , wherein during the rinsing the water penetrates into the patterned resist layer such that hydrogen bonds are formed between molecules of the water and polar functional groups of the patterned resist layer.3. The lithography method of claim 1 , wherein the water constitutes between about 5% and about 30% of the rinse solution.4. The lithography method of claim 1 , wherein the rinse solution further includes a dipolar solvent that constitutes between about 5% and about 70% of the rinse solution.5. The lithography method of claim 1 , wherein the rinse solution includes a solvent that has a surface tension lower than about 35 dyn/cm.6. The lithography method of claim 5 , wherein the solvent that has a surface tension lower than about 35 dyn/cm constitutes between about 10% and about 70% of the rinse solution.7. The lithography method of claim 5 , wherein the rinse solution has an overall surface tension greater than about 35 dyn/cm. ...

Подробнее
04-03-2021 дата публикации

EUV Photoresist With Low-Activation-Energy Ligands or High-Developer-Solubility Ligands

Номер: US20210063876A1
Принадлежит:

A photoresist includes a core group that contains metal, and one or more first ligands or one or more second ligands attached to the core group. The first ligands each have a following structure: 2. The photoresist of claim 1 , wherein the photoresist is an extreme ultraviolet (EUV) lithography photoresist.3. The photoresist of claim 1 , wherein: the core group contains Caesium (Cs) claim 1 , Barium (Ba) claim 1 , Lanthanum (La) claim 1 , Cerium (Ce) claim 1 , Indium (In) claim 1 , Tin (Sn) claim 1 , Silver (Ag) claim 1 , or Antimony (Sb).4. The photoresist of claim 1 , wherein the core group contains 1˜18 metal ions.5. The photoresist of claim 1 , wherein the linker includes: C═O claim 1 , —S— claim 1 , —P— claim 1 , —P(O)— claim 1 , —C(═O)SH claim 1 , —C(═O)OH claim 1 , —OC(═O)— claim 1 , —O— claim 1 , —N— claim 1 , —NH— claim 1 , —NH— claim 1 , —C(═O)NH claim 1 , —SOH claim 1 , —SOSH claim 1 , —SO— claim 1 , or —SO.6. The photoresist of claim 1 , wherein the core group has at least one first ligand and at least one second ligand attached thereto.7. The photoresist of claim 6 , wherein:the core group includes a plurality of metal ions;a first subset of the metal ions each has a first ligand attached thereto; anda second subset of the metal ions each has a second ligand attached thereto.11. The photoresist of claim 10 , wherein: the core group contains Caesium (Cs) claim 10 , Barium (Ba) claim 10 , Lanthanum (La) claim 10 , Cerium (Ce) claim 10 , Indium (In) claim 10 , Tin (Sn) claim 10 , Silver (Ag) claim 10 , or Antimony (Sb).12. The photoresist of claim 10 , wherein:the core group contains a plurality of metal ions;the first ligand is attached to a first one of the metal ions; andthe second ligand is attached to a second one of the metal ions.14. The method of claim 13 , further comprising: constructing the photoresist material using a solvent that is selected from the group consisting of: PGMEA (Propylene glycol methyl ether acetate) claim 13 , PGME (propylene ...

Подробнее
04-03-2021 дата публикации

METHOD FOR FORMING PATTERNED PHOTORESIST

Номер: US20210063888A1

A method of forming a patterned photoresist layer includes the following operations: (i) forming a patterned photoresist on a substrate; (ii) forming a molding layer covering the patterned photoresist; (iii) reflowing the patterned photoresist in the molding layer; and (iv) removing the molding layer from the reflowed patterned photoresist. In some embodiments, the molding layer has a glass transition temperature that is greater than or equal to the glass transition temperature of the patterned photoresist. In yet some embodiments, the molding layer has a glass transition temperature that is 3° C.-30° C. less than the glass transition temperature of the patterned photoresist. 1. A method , comprising:forming a patterned photoresist on a substrate;forming a molding layer covering the patterned photoresist;reflowing the patterned photoresist in the molding layer; andremoving the molding layer from the reflowed patterned photoresist.2. The method according to claim 1 , wherein the reflowing the patterned photoresist comprises heating the patterned photoresist at a temperature of greater than a glass transition temperature of the patterned photoresist.3. The method according to claim 2 , wherein the molding layer has a glass transition temperature that is greater than or equal to the glass transition temperature of the patterned photoresist.4. The method according to claim 2 , wherein the molding layer has a glass transition temperature that is 3° C.-30° C. less than the glass transition temperature of the patterned photoresist.5. The method according to claim 1 , wherein the reflowing the patterned photoresist comprises reducing a roughness on a sidewall of the patterned photoresist.6. The method according to claim 1 , wherein the molding layer comprises a polymer having a repeating unit comprising at least one of a hydroxyl functionality claim 1 , a carboxylate functionality claim 1 , a carboxylic acid functionality claim 1 , an amine functionality claim 1 , and an ...

Подробнее
27-02-2020 дата публикации

ANTI-NGF COMPOSITIONS AND USE THEREOF

Номер: US20200062837A1
Принадлежит:

The present invention is directed to antibodies and fragments thereof having binding specificity for NGF. Another embodiment of this invention relates to the antibodies described herein, and binding fragments thereof, comprising the sequences of the V, Vand CDR polypeptides described herein, and the polynucleotides encoding them. The invention also contemplates conjugates of anti-NGF antibodies and binding fragments thereof conjugated to one or more functional or detectable moieties. The invention also contemplates methods of making said anti-NGF antibodies and binding fragments thereof. Embodiments of the invention also pertain to the use of anti-NGF antibodies, and binding fragments thereof, for the diagnosis, assessment and treatment of diseases and disorders associated with NGF. 1. An anti-human NGF antibody or antibody fragment which comprises the same CDR polypeptides as an anti-human NGF antibody selected from Ab1 , Ab2 , Ab3 , Ab4 , Ab5 , Ab6 , Ab7 , Ab8 , Ab9 , Ab10 , Ab11 , Ab12 , Ab13 , Ab14 , Ab15 , Ab16 , Ab17 , Ab18 , Ab19 , Ab20 , and Ab21.25-. (canceled)6. The anti-human NGF antibody or fragment according to claim 1 , wherein said fragment is a Fab fragment comprising a Vpolypeptide at least 90% identical to one selected from those in SEQ ID NO: 3 claim 1 , 13 claim 1 , 23 claim 1 , 33 claim 1 , 43 claim 1 , 53 claim 1 , 63 claim 1 , 73 claim 1 , 83 claim 1 , 93 claim 1 , 103 claim 1 , 113 claim 1 , 123 claim 1 , 133 claim 1 , 143 claim 1 , 153 claim 1 , 163 claim 1 , 173 claim 1 , 183 claim 1 , 193 claim 1 , or 402 and/or Vpolypeptide sequence at least 90% identical to one selected from: SEQ ID NO: 1 claim 1 , 11 claim 1 , 21 claim 1 , 31 claim 1 , 41 claim 1 , 51 claim 1 , 61 claim 1 , 71 claim 1 , 81 claim 1 , 91 claim 1 , 101 claim 1 , 111 claim 1 , 121 claim 1 , 131 claim 1 , 141 claim 1 , 151 claim 1 , 161 claim 1 , 171 claim 1 , 181 claim 1 , 191 claim 1 , or 401.721-. (canceled)22. The anti-human NGF antibody or fragment of claim 1 , which is ...

Подробнее
28-02-2019 дата публикации

Semiconductor Method of Protecting Wafer from Bevel Contamination

Номер: US20190064669A1
Принадлежит:

The present disclosure provides a method that includes coating an edge portion of a wafer by a first chemical solution including a chemical mixture of an acid-labile group, a solubility control unit and a thermal acid generator; curing the first chemical solution to form a first protecting layer on the edge portion of the wafer; coating a resist layer on a front surface of the wafer; removing the first protecting layer by a first removing solution; and performing an exposing process to the resist layer. 1. A method , comprising:coating an edge portion of a wafer by a first chemical solution including a chemical solution;curing the first chemical solution to form a first protecting layer on the edge portion of the wafer;coating a resist layer on a front surface of the wafer;removing the first protecting layer by a first removing solution; andthereafter, performing an exposure process to the resist layer.2. The method of claim 1 , wherein the first chemical solution includes a chemical mixture of an acid-labile group claim 1 , a solubility control unit and a thermal acid generator.3. The method of claim 2 , whereinthe acid-labile group includes a t-butoxycardbonyl (tBOC); and{'sub': 4', '4', '9', '3', '4', '3', '3, 'sup': +', '−', '+', '−, 'the thermal acid generator is chosen from NHCFSO and NHCFSO.'}4. The method of claim 2 , wherein the solubility control unit is chosen from lactone claim 2 , ester claim 2 , ether claim 2 , ketone and a combination thereof.5. The method of claim 2 , whereinthe coating of the edge portion of the wafer includes spray-coating the first chemical solution to the edge portion of the wafer; andthe curing of the first chemical solution includes performing a first baking process to the first chemical solution with a baking temperature that can trigger the thermal acid generator to release acid.6. The method of claim 5 , wherein the spray-coating of the first chemical solution includesdelivering the first chemical solution to the edge ...

Подробнее
28-02-2019 дата публикации

METHOD FOR PERFORMING LITHOGRAPHY PROCESS WITH POST TREATMENT

Номер: US20190064673A1

Methods for performing a lithography process are provided. The method for performing a lithography process includes forming a resist layer over a substrate and exposing a portion of the resist layer to form an exposed portion between unexposed portions. The method for performing a lithography process further includes developing the resist layer to remove the exposed portion of the resist layer such that an opening is formed between the unexposed portions and forming a post treatment coating material in the opening and over the unexposed portions of the resist layer. The method for performing a lithography process further includes reacting a portion of the unexposed portions of the resist layer with the post treatment coating material by performing a post treatment process and removing the post treatment coating material. 1. A method for performing a lithography process , comprising:forming a resist layer over a substrate;exposing a portion of the resist layer to form an exposed portion between unexposed portions;developing the resist layer to remove the exposed portion of the resist layer such that an opening is formed between the unexposed portions;forming a post treatment coating material in the opening and over the unexposed portions of the resist layer;reacting a portion of the unexposed portions of the resist layer with the post treatment coating material by performing a post treatment process; andremoving the post treatment coating material.2. The method for performing a lithography process as claimed in claim 1 , wherein the portion of the unexposed portions of the resist layer reacting with the post treatment coating material is removed with the post treatment coating material.3. The method for performing a lithography process as claimed in claim 2 , wherein the portion of the unexposed portions of the resist layer removed during the second developing process has a thickness in a range from about 1 nm to about 50 nm.4. The method for performing a lithography ...

Подробнее
28-02-2019 дата публикации

METHOD FOR MANUFACTURING A SEMICONDUCTOR DEVICE

Номер: US20190067002A1
Принадлежит:

In a method of manufacturing a semiconductor device, a photo resist layer is formed over a substrate with underlying structures. The first photo resist layer is exposed to exposure radiation. The exposed first photo resist layer is developed with a developing solution. A planarization layer is formed over the developed photo resist layer. The underlying structures include concave portions, and a part of the concave portions is not filled by the developed first photo resist. 1. A method of manufacturing a semiconductor device , the method comprising:forming a photo resist layer over a substrate with underlying structures;exposing the first photo resist layer to exposure radiation;developing the exposed first photo resist layer with a developing solution; andforming a planarization layer over the developed photo resist layer, wherein:the underlying structures include concave portions, anda part of the concave portions is not filled by the developed first photo resist.2. The method of claim 1 , wherein the planarization layer is made of an organic material.3. The method of claim 1 , wherein the planarization layer is made of photo resist different from the photo resist layer.4. The method of claim 1 , wherein the planarization layer has a different optical property than the photo resist layer.5. The method of claim 1 , wherein the planarization layer is made of a material different from the photo resist layer in at least one selected from the group consisting of a polymer structure claim 1 , an acid labile molecule claim 1 , a photo acid generator (PAG) amount claim 1 , a quencher amount claim 1 , a chromophore claim 1 , a cross linker claim 1 , and a solvent.6. The method of claim 1 , wherein the planarization layer is made of a same material as the photo resist layer.7. The method of claim 1 , wherein the planarization layer is made of a bottom anti-reflective coating material.8. The method of claim 1 , wherein:the substrate includes a first area and a second area,a ...

Подробнее
09-03-2017 дата публикации

Conformal Middle Layer For A Lithography Process

Номер: US20170069496A1
Принадлежит:

A method includes performing a first polymerization process on a monomer solution to form a partially processed resin solution, the partially processed resin solution comprising a solvent and a silicon-based resin, spin coating the partially processed resin solution on a substrate, and performing a second polymerization process on the partially processed resin solution to shrink the partially processed resin solution to form a conformal silicon-based resin layer. 1. A method comprising:performing a first polymerization process on a monomer solution to form a partially processed resin solution, the partially processed resin solution comprising a solvent and a silicon-based resin;spin coating the partially processed resin solution on a substrate; andperforming a second polymerization process on the partially processed resin solution to shrink the partially processed resin solution to form a conformal silicon-based resin layer.2. The method of claim 1 , wherein the monomer solution includes first-type monomers that each have four cross-linkable sides; anda percentage of the first-type monomers over total monomers in the monomer solution is greater than 50 percent.3. The method of claim 1 , wherein variation of thickness of the silicon-based resin layer does not exceed about 15 percent.4. The method of claim 1 , wherein the silicon-based resin layer comprises a siloxane material.5. The method of claim 1 , wherein a molecular weight of polymers within the partially processed resin solution is less than 2000.6. The method of claim 5 , wherein the molecular weight of the polymers within the silicon-based resin layer is greater than 3000 after the second polymerization process.7. The method of claim 1 , wherein the solvent has a boiling point that is greater than 150 degrees Celsius.8. The method of claim 1 , wherein the solvent comprises an organic solvent and water.9. The method of claim 8 , wherein a ratio of water to organic solvent in volume is less than 30 percent.10. ...

Подробнее
09-03-2017 дата публикации

METHOD FOR FABRICATING SEMICONDUCTOR DEVICE

Номер: US20170069543A1
Принадлежит:

A method for fabricating semiconductor device is disclosed. The method includes the steps of: providing a substrate having a fin-shaped structure thereon and a shallow trench isolation (STI) around the fin-shaped structure, in which the fin-shaped structure has a top portion and a bottom portion; forming a first doped layer on the STI and the top portion; and performing a first anneal process. 114-. (canceled)15. A method for fabricating semiconductor device , comprising:providing a substrate having a first region and a second region;forming a first fin-shaped structure on the first region and a second fin-shaped structure on the second region;forming a shallow trench isolation (STI) around the first fin-shaped structure and the second fin-shaped structure so that each of the first fin-shaped structure and the second fin-shaped structure is divided into a top portion and a bottom portion;forming a first doped layer on the STI and on the top portion of the second fin-shaped structure;forming a second doped layer on the STI and the top portion of the first fin-shaped structure, wherein the first doped layer and the second doped layer comprise dopants of same type; andperforming an anneal process.16. The method of claim 15 , further comprising:forming the first doped layer and a first liner on the STI, the first fin-shaped structure, and the second fin-shaped structure;removing the first liner and the first doped layer from the first region;forming the second doped layer on the STI, the first fin-shaped structure, and the first liner; andperforming the anneal process.17. The method of claim 15 , wherein the dopant concentration of the first doped layer is higher than the dopant concentration of the second doped layer.18. The method of claim 15 , wherein the first doped layer and the second doped layer comprise borosilicate glass (BSG).19. The method of claim 15 , wherein the first doped layer and the second doped layer comprise phosphosilicate glass (PSG). 1. Field of ...

Подробнее
19-03-2015 дата публикации

OVERLAY MARK

Номер: US20150076613A1
Принадлежит:

An overlay mark comprises a first feature in a first layer. The first feature has a length extending in a first longitudinal direction and a width extending in a second longitudinal direction. The length of the first feature is greater than the width of the first feature. The overlay mark also comprises a second feature in a second layer over the first layer. The second feature has a length extending in the second longitudinal direction and a width extending in the first longitudinal direction. The length of the second feature is greater than the width of the second feature. The overlay mark further comprises a third feature in a third layer over the second layer. The third feature is a box-shaped opening in the third layer. 1. An overlay mark , comprising:a first feature in a first layer, the first feature having a length extending in a first longitudinal direction and a width extending in a second longitudinal direction, the length of the first feature being greater than the width of the first feature;a second feature in a second layer over the first layer, the second feature having a length extending in the second longitudinal direction and a width extending in the first longitudinal direction, the length of the second feature being greater than the width of the second feature; anda third feature in a third layer over the second layer, the third feature being a box-shaped opening in the third layer.2. The overlay mark of claim 1 , wherein the first longitudinal direction is substantially perpendicular to the second longitudinal direction.3. The overlay mark of claim 1 , wherein the first feature comprises at least two alignment segments substantially parallel to each other.4. The overlay mark of claim 1 , wherein the second feature comprises at least two alignment segments substantially parallel to each other.5. The overlay mark of claim 1 , wherein the third feature comprises at least two alignment segments substantially parallel to each other extending along ...

Подробнее
11-03-2021 дата публикации

METHOD OF MANUFACTURING A SEMICONDUCTOR DEVICE

Номер: US20210074538A1
Принадлежит:

A method of manufacturing a semiconductor device includes forming a first protective layer over an edge portion of a first main surface of a semiconductor substrate. A metal-containing photoresist layer is formed over the first main surface of the semiconductor substrate. The first protective layer is removed, and the metal-containing photoresist layer is selectively exposed to actinic radiation. A second protective layer is formed over the edge portion of the first main surface of the semiconductor substrate. The selectively exposed photoresist layer is developed to form a patterned photoresist layer, and the second protective layer is removed. 1. A method of manufacturing a semiconductor device , comprising:forming a first protective layer over an edge portion of a first main surface of a semiconductor substrate;forming a metal-containing photoresist layer over the first main surface of the semiconductor substrate;removing the first protective layer;selectively exposing the metal-containing photoresist layer to actinic radiation;forming a second protective layer over the edge portion of the first main surface of the semiconductor substrate;developing the selectively exposed photoresist layer to form a patterned photoresist layer; andremoving the second protective layer.2. The method according to claim 1 , further comprising a first heating of the selectively exposed metal-containing photoresist layer and the second protective layer at a temperature of 100° C. to 200° C. for 10 seconds to 5 minutes.3. The method according to claim 2 , wherein the semiconductor substrate is placed on a heated surface during the first heating.4. The method according to claim 3 , wherein a gas at a temperature of 100° C. to 190° C. is flowed over the metal-containing photoresist layer and the second protective layer during the first heating.5. The method according to claim 1 , further comprising a second heating of the first protective layer at a temperature of 40° C. to 120° C. ...

Подробнее
05-03-2020 дата публикации

PHOTORESIST, DEVELOPER, AND METHOD OF FORMING PHOTORESIST PATTERN

Номер: US20200073238A1
Принадлежит:

A photoresist composition comprises a polymer resin, a photoactive compound, an organometallic compound, an enhancement additive, and a first solvent. The enhancement additive is an ionic surfactant, a non-ionic surfactant, or a second solvent having a boiling point of greater than 150° C. 1. A photoresist composition , comprising:a polymer resin;a photoactive compound;an organometallic compound;an enhancement additive; anda first solvent,wherein the enhancement additive is an ionic surfactant, a non-ionic surfactant, or a second solvent having a boiling point of greater than 150° C.5. The photoresist composition of claim 1 , wherein a concentration of the second solvent having a boiling point of greater than 150° C. is 3 wt. % to 100 wt. % of the total weight of the second solvent and the first solvent.6. The photoresist composition of claim 1 , wherein a concentration of the ionic surfactant or non-ionic surfactant is 10 ppm to 1 claim 1 ,000 ppm based on the total weight of the ionic surfactant or non-ionic surfactant and the first solvent.7. The photoresist composition of claim 1 , wherein the organometallic compound comprises a metal oxide nanoparticle and one or more organic ligands.8. The photoresist composition of claim 1 , wherein the first solvent is one or more selected from propylene glycol methyl ether acetate (PGMEA) claim 1 , propylene glycol monomethyl ether (PGME) claim 1 , 1-ethoxy-2-propanol (PGEE) claim 1 , γ-butyrolactone (GBL) claim 1 , cyclohexanone (CHN) claim 1 , ethyl lactate (EL) claim 1 , methanol claim 1 , ethanol claim 1 , propanol claim 1 , n-butanol claim 1 , acetone claim 1 , dimethylformamide (DMF) claim 1 , isopropanol (IPA) claim 1 , tetrahydrofuran (THF) claim 1 , methyl isobutyl carbinol (MIBC) claim 1 , n-butyl acetate (nBA) claim 1 , and 2-heptanone (MAK).9. The photoresist composition of claim 1 , further comprising water at a concentration of 10 ppm to 250 ppm based on the total composition of the water claim 1 , enhancement ...

Подробнее
05-03-2020 дата публикации

Photosensitive Middle Layer

Номер: US20200073243A1
Принадлежит:

A method includes providing a substrate; forming a bottom layer over the substrate; forming a middle layer over the bottom layer, a top surface of which including a photosensitive moiety having a first end anchored in the middle layer and a second end extending away from the top surface of the middle layer; forming a photoresist layer over the middle layer; exposing the photoresist layer to a radiation source; and developing the photoresist layer to form a pattern. The photosensitive moiety, which includes one of a photo-acid generator (PAG), a photo-base generator (PBG), photo-decomposable base (PDB), or photo-decomposable quencher (PDQ), may be anchored to a polymer backbone forming the middle layer via one or more linker groups. A distance by which the photosensitive moiety extends into the photoresist layer may be determined by a length of the linker group. 1. A method comprising:providing a substrate;forming a bottom layer over the substrate;forming a middle layer over the bottom layer, wherein a top surface of the middle layer includes a photosensitive moiety having a first end anchored in the middle layer and a second end extending away from the top surface of the middle layer;forming a photoresist layer over the middle layer;exposing the photoresist layer to a radiation source; anddeveloping the photoresist layer to form a pattern.2. The method of claim 1 , wherein the photosensitive moiety includes one of a photo-acid generator claim 1 , a photo-base generator claim 1 , a photo-decomposable quencher claim 1 , or a photo-decomposable base.3. The method of claim 2 , wherein the middle layer includes a silicon-based polymer having the first end of the photosensitive moiety bonded to the silicon-based polymer.4. The method of claim 3 , wherein the photosensitive moiety is bonded to the silicon-based polymer via a linker group.5. The method of claim 4 , wherein the linker group is configured to be bondable to a plurality of photosensitive moieties.6. The method ...

Подробнее
05-03-2020 дата публикации

METHOD OF CLEANING EXTREME ULTRAVIOLET LITHOGRAPHY COLLECTOR

Номер: US20200073250A1
Принадлежит:

A method of cleaning an extreme ultraviolet lithography collector includes applying a cleaning composition to a surface of the extreme ultraviolet lithography collector having debris on the surface of the collector in an extreme ultraviolet radiation source chamber. The cleaning composition includes: a major solvent having Hansen solubility parameters of 25>δ>15, 25>δ>10, and 30>δ>6; and an acid having an acid dissociation constant, pKa, of −15δ>15, 25>δ>10, and 30>δ>6; and an acid having an acid dissociation constant, pKa, of −15 Подробнее

15-03-2018 дата публикации

SMART PHONE WITH A TEXT RECOGNITION MODULE

Номер: US20180077274A1
Принадлежит:

A portable device can transmit information through one of a mobile phone network and an Internet, wherein the portable device includes a text-based communication module to allow a user may synchronously transmit or receive data through a local area network, wherein the data is text, audio, video or the combination thereof. The text-based communication module of the portable device includes a text-to-speech recognition module used to convert a text data for outputting the text data by vocal, and a read determination module for determining read target terminals and unread target terminals when a user of the portable phone device activates the read determination module. 1. A smart phone to communicate through one of a mobile phone network and an Internet , comprising:a control unit;a text based communication module coupled to said control unit to communicate with a target terminal via a wireless local area network (LAN) or a mobile phone network;a display coupled to said control unit and to display a text data from said text based communication module to enable a user to read said text data;a text-to-speech recognition module coupled to said control unit to convert said text data by said text-to-speech recognition module to audio; anda microphone coupled to said control unit to output said audio.2. The smart phone as claimed in claim 1 , wherein said text-to-speech recognition module includes an acoustic dictionary.3. The smart phone as claimed in claim 1 , wherein said text-to-speech recognition module includes a sound matching module.4. The smart phone as claimed in claim 1 , wherein said text-to-speech recognition module is configured to process text data to generate an associated audio data.5. The smart phone as claimed in claim 1 , wherein said text data is received by a RF (Radio Frequency) module or a wireless LAN module.6. The smart phone as claimed in claim 1 , wherein said text based communication module is embedded into said smart phone or downloaded from a ...

Подробнее
05-03-2020 дата публикации

Morphology of Resist Mask Prior to Etching

Номер: US20200075319A1
Принадлежит:

Embodiments provide a patterning process. A photoresist layer is patterned. At least portions of the photoresist layer are converted from an organic material to an inorganic material by a deposition process of a metal oxide. All or some of the patterned photoresist layer may be converted to a carbon-metal-oxide. A metal oxide crust may be formed over the patterned photoresist layer. After conversion, the patterned photoresist layer is used as an etch mask to etch an underlying layer. 1. A method , comprising:patterning a photoresist layer to form a mask layer, the mask layer comprising an organic material;converting a portion of the mask layer to an inorganic material; andetching a first layer using the mask layer.2. The method of claim 1 , further comprising:before converting the portion of the mask layer, performing a de-scum process, the de-scum process removing material from sidewall portions of the mask layer and removing material from exposed portions of the first layer.3. The method of claim 1 , further comprising:before converting the portion of the mask layer, performing a trim process, the trim process removing material from sidewall surfaces and upper surfaces of the mask layer.4. The method of claim 1 , wherein converting the portion of the mask layer comprises:depositing a metal oxide into the mask layer by an atomic layer deposition (ALD) process.5. The method of claim 1 , wherein converting the portion of the mask layer comprises:altering the mask layer to convert the mask layer to a carbon-metal-oxide material.6. The method of claim 1 , wherein converting the portion of the mask layer comprises:forming a metal oxide crust over the mask layer.7. The method of claim 6 , further comprising:forming a uniform crosslink of a carbon-metal-oxide at an interface of the metal oxide crust and the mask layer.8. The method of claim 1 , wherein converting the portion of the mask layer comprises:forming a carbon-metal-oxide crust at surfaces of the mask layer.9. A ...

Подробнее
22-03-2018 дата публикации

Optical detection system

Номер: US20180080879A1
Принадлежит: Delta Electronics Inc

An optical detection system includes a light emitting module, a test strip and a receiving module. The light emitting module includes a light source and a first light shielding unit. The first light shielding unit has a first aperture corresponding to the light source. The test strip includes a cassette and a light permeable test paper. The cassette has a first window, a second window and a sample opening disposed on one surface of the cassette. The first and second windows are disposed corresponding to each other and located on opposite sides of the cassette, respectively. The light permeable test paper is disposed in the cassette. The receiving module includes a second light shielding unit and a photo sensor. The second light shielding unit has a second aperture corresponding to the second window. The photo sensor receives the light beam from the light source and outputs a measurement signal.

Подробнее
26-03-2015 дата публикации

Photoresist System and Method

Номер: US20150086924A1

A system and method for photoresists is provided. In an embodiment a cross-linking or coupling reagent is included within a photoresist composition. The cross-linking or coupling reagent will react with the polymer resin within the photoresist composition to cross-link or couple the polymers together, resulting in a polymer with a larger molecular weight. This larger molecular weight will cause the dissolution rate of the photoresist to decrease, leading to a better depth of focus for the line.

Подробнее
14-03-2019 дата публикации

METHOD FOR PERFORMING A PHOTOLITHOGRAPHY PROCESS

Номер: US20190080901A1

A method for performing a photolithography process is provided. The method includes forming a resist layer over a substrate and exposing a portion of the resist layer to form an exposed region and an unexposed region by performing an exposure process. The method includes performing a baking process on the resist layer, so that voids are formed in the exposed region of the resist layer. The method also includes removing the unexposed region of the resist layer to form a recess in the resist layer and filling a post treatment coating material in the recess and the void. The method further includes removing a portion of the post treatment coating material by performing a second develop process, and another portion of the post treatment coating material is left on surfaces of the exposed region of the resist layer to form a patterned resist layer. 1. A method for performing a photolithography process , comprising:forming a resist layer over a substrate;exposing a portion of the resist layer to form an exposed region and an unexposed region by performing an exposure process;performing a baking process on the resist layer, so that voids are formed in the exposed region of the resist layer;removing the unexposed region of the resist layer to form a recess in the resist layer by performing a first develop process;filling the recess and the void with a post treatment coating material, wherein the post treatment coating material is over the exposed region of the resist layer; andremoving a portion of the post treatment coating material by performing a second develop process, wherein another portion of the post treatment coating material is left on surfaces of the exposed region of the resist layer to form a patterned resist layer.2. The method for performing the photolithography process as claimed in claim 1 , wherein the another portion of the post treatment coating material is left on a top surface and sidewall surfaces of the exposed region of the resist layer.3. The ...

Подробнее
31-03-2016 дата публикации

Cleaning composition and method for semiconductor device fabrication

Номер: US20160090565A1

Provided is a cleaning composition and its applications. The cleaning composition comprises a mixture of a halogen-containing compound and an organic solvent. In some embodiments, the halogen-containing compound is TetraButylAmmonium Fluoride (TBAF) and the organic solvent is either propylene glycol monomethylether acetate (PGMEA) or a mixture of PGMEA and propylene glycol monomethylether (PGME). The cleaning composition is effective in removing silicon-containing material off of a surface of an apparatus. In some embodiments, the apparatus comprises a pipeline for delivering the silicon-containing material in semiconductor spin-coating processes. In some embodiments, the apparatus comprises a drain for collecting waste fluid in semiconductor spin-coating processes. In some embodiments, the apparatus comprises a patterned substrate.

Подробнее
21-03-2019 дата публикации

HUMIDITY CONTROL IN EUV LITHOGRAPHY

Номер: US20190086818A1
Принадлежит:

A photo-sensitive layer is applied over a wafer. The photo-sensitive layer is exposed. In some embodiments, the photo-sensitive layer is exposed to EUV light. The photo-sensitive layer is baked. The photo-sensitive layer is developed. Humidity is introduced in at least one of: the applying, the baking, or the developing. 1. A method , comprising:applying a photo-sensitive layer over a wafer;exposing the photo-sensitive layer;baking the photo-sensitive layer;developing the photo-sensitive layer;rinsing the photo-sensitive layer after the exposing but before the baking, or after the baking but before the developing, wherein the rinsing is performed with a liquid, wherein a water content in the liquid is in a range between about 0.1% and about 10%; andintroducing humidity in at least one of: the applying, the baking, or the developing.2. The method of claim 1 , wherein the introducing is performed at least before or during the applying of the photo-sensitive layer.3. The method of claim 2 , wherein the introducing is performed such that between about 1% and about 15% of the photo-sensitive layer is water.4. The method of claim 1 , wherein the exposing comprises exposing the photo-sensitive layer to an extreme ultraviolet (EUV) light.5. The method of claim 1 , wherein the introducing is performed at least during the baking.6. The method of claim 5 , wherein the baking is performed before the exposing and after the exposing and with a humidity setting of between about 46% and about 55%.7. The method of claim 1 , further comprising: adding a purge gas that contains Nand COduring the baking that is performed after the exposing.811-. (canceled)12. The method of claim 1 , wherein the introducing is performed at least during the developing claim 1 , and wherein a water content in a developer solution used in the developing is in a range between about 0.1% and about 10%.1316-. (canceled)17. A semiconductor fabrication system claim 1 , comprising:a first component configured to ...

Подробнее
31-03-2016 дата публикации

Photoacid Generator Bound to Floating Additive Polymer

Номер: US20160093493A1

Methods and materials for making a semiconductor device are described. The method includes providing a substrate, forming a middle layer comprising a floating additive polymer (FAP) at an upper surface of the middle layer, the FAP chemically bound to a photoacid generator (PAG) and including a fluorine-containing material over the substrate, forming a photoresist layer over the middle layer, exposing the photoresist layer and the middle layer to an exposure energy to produce acid bound to the middle layer in the exposed areas of the middle layer, and developing the photoresist layer.

Подробнее
07-04-2016 дата публикации

Photosensitive material and method of lithography

Номер: US20160097976A1
Автор: Ching-Yu Chang

Photosensitive materials and method of forming a pattern that include providing a composition of a component of a photosensitive material that is operable to float to a top region of a layer formed from the photosensitive material. In an example, a photosensitive layer includes a first component having a fluorine atom (e.g., alkyl fluoride group). After forming the photosensitive layer, the first component floats to a top surface of the photosensitive layer. Thereafter, the photosensitive layer is patterned.

Подробнее
28-03-2019 дата публикации

Humidity Control in EUV Lithography

Номер: US20190094716A1
Принадлежит:

A photo-sensitive layer is applied over a wafer. The photo-sensitive layer is exposed. In some embodiments, the photo-sensitive layer is exposed to EUV light. The photo-sensitive layer is baked. The photo-sensitive layer is developed. Humidity is introduced in at least one of: the applying, the baking, or the developing. 1. A material , comprising:a photo-sensitive material for an extreme ultraviolet (EUV) lithography;a solvent; andwater.2. The material of claim 1 , wherein the material is coated over a semiconductor wafer.3. The material of claim 1 , wherein the photo-sensitive material includes one or more of: a polymer claim 1 , a photoacid generator claim 1 , a quencher claim 1 , a chromophore claim 1 , a surfactant claim 1 , or a cross linker.4. The material of claim 1 , wherein the solvent includes Propylene Glycol Monomethyl Ether (PGME) or Propylene Glycol Monomethyl Ether Acetate (PGMEA).5. The material of claim 1 , wherein a content of the photo-sensitive material in the material is in a range between about 1% and about 10%.6. The material of claim 1 , wherein a content of the solvent in the material is in a range between about 80% and about 94%.7. The material of claim 1 , wherein a content of the water in the material is in a range between about 1% and about 15%.8. The material of claim 1 , wherein a content of the water in the material is in a range between about 0.1% and about 8%.9. The material of claim 1 , wherein the material comprises a positive photoresist.10. The material of claim 1 , wherein the material comprises a negative photoresist.11. A photoresist material claim 1 , comprising:a plurality of chemical components selected from the group consisting of: a polymer, a photoacid generator, a quencher, a chromophore, a surfactant, or a cross linker, wherein the plurality of chemical components constitute a first percentage of the photoresist material;a solvent selected from the group consisting of: Propylene Glycol Monomethyl Ether (PGME) or ...

Подробнее
01-04-2021 дата публикации

Porogen Bonded Gap Filling Material in Semiconductor Manufacturing

Номер: US20210098378A1
Принадлежит:

A device includes a substrate; a first layer over the substrate, the first layer containing a plurality of fin features and a trench between two adjacent fin features. The device also includes a porous material layer having a first portion and a second portion. The first portion is disposed in the trench. The second portion is disposed on a top surface of the first layer. The first and the second portions contain substantially same percentage of Si, substantially same percentage of O, and substantially same percentage of C. 1. A device , comprising:a substrate;a first layer over the substrate, the first layer including a plurality of fin features and a trench between two adjacent fin features; anda dielectric material layer having a first portion and a second portion, the first portion disposed in the trench, the second portion disposed on a top surface of the first layer, wherein the first and the second portions contain substantially a same level of porosity.2. The device of claim 1 , wherein the first and the second portions contain substantially same percentage of Si element claim 1 , substantially same percentage of O element claim 1 , substantially same percentage of C element claim 1 , and substantially same percentage of N element.3. The device of claim 2 , wherein a percentage of O element in the first and the second portions is higher than a percentage of C element in the first and the second portions.4. The device of claim 3 , wherein the percentage of O element in the first and the second portions is more than twice of the percentage of C element in the first and the second portions.5. The device of claim 2 , wherein a percentage of Si element in the first and the second portions is higher than a percentage of O element in the first and the second portions.8. The device of claim 7 , wherein the matrix and the polymer are bonded through a Si—O—[CHCHO]bond.9. The device of claim 8 , wherein the matrix includes one or more monomers of tetramethoxysilane ( ...

Подробнее
28-03-2019 дата публикации

METHOD FOR FORMING SEMICONDUCTOR STRUCTURE

Номер: US20190096675A1

A method for forming a semiconductor device structure is provided. The method includes forming a material layer over a substrate and forming a resist layer over the material layer. The resist layer includes an inorganic material and an auxiliary. The inorganic material includes a plurality of metallic cores and a plurality of first linkers bonded to the metallic cores. The method includes exposing a portion of the resist layer. The resist layer includes an exposed region and an unexposed region. In the exposed region, the auxiliary reacts with the first linkers. The method also includes removing the unexposed region of the resist layer by using a developer to form a patterned resist layer. The developer includes a ketone-based solvent having a formula (a), wherein Ris linear or branched C-Calkyl, and Ris linear or branched C-Calkyl. 2. The method for forming the semiconductor structure as claimed in claim 1 , wherein Ris CH claim 1 , and Ris linear or branched C-Calkyl.3. The method for forming the semiconductor structure as claimed in claim 1 , wherein Ris CH claim 1 , and Ris branched Calkyl.4. The method for forming the semiconductor structure as claimed in claim 1 , wherein Ris CH claim 1 , and Ris branched C-Calkyl.5. The method for forming the semiconductor structure as claimed in claim 1 , wherein Ris linear or branched CH claim 1 , and Ris branched C-Calkyl.6. The method for forming the semiconductor structure as claimed in claim 1 , wherein the developer comprises 3-heptanone claim 1 , 2-octanone claim 1 , 5-methyl-2-hexanone claim 1 , or a combination thereof.7. The method for forming the semiconductor structure as claimed in claim 1 , wherein the developer further comprises water (HO) claim 1 , and a ratio of water to the developer is in a range from about 0.01% to about 3%.8. The method for forming the semiconductor structure as claimed in claim 1 , wherein the auxiliary comprises a plurality of second linkers claim 1 , and the second linkers react with ...

Подробнее
12-04-2018 дата публикации

Silicon-Containing Photoresist for Lithography

Номер: US20180101095A1
Автор: Chang Ching-Yu, LIN Li-Yen
Принадлежит:

A photoresist includes a polymer backbone, an acid labile group (ALG) chemically bonded to the polymer backbone, a photo-acid generator (PAG), a solvent, and a silicon-containing unit that is chemically bonded to the ALG. A method of using the photoresist composition includes forming a layer of the photoresist over a substrate, performing an exposing process to the photoresist layer; and developing the photoresist layer, thereby forming a patterned photoresist layer. The patterned photoresist layer includes the silicon-containing unit. 1. A method for lithography patterning , comprising:forming a photoresist layer over a substrate, wherein the photoresist layer includes a polymer backbone, an acid labile group (ALG) chemically bonded to the polymer backbone, a photo-acid generator (PAG), a solvent, and a silicon-containing unit chemically bonded to the ALG;performing an exposing process to the photoresist layer; anddeveloping the photoresist layer, thereby forming a patterned photoresist layer.2. The method of claim 1 , further comprising:baking the photoresist layer before the developing of the photoresist layer.3. The method of claim 1 , wherein the developing of the photoresist layer removes portions of the photoresist layer that are exposed by the exposing process.4. The method of claim 1 , wherein the silicon-containing unit is chemically bonded to the ALG through an intermediate bonding unit.5. The method of claim 4 , wherein the intermediate bonding unit comprises an aromatic ring or a chain with 1 to 6 carbon atoms.6. The method of claim 5 , wherein the chain comprises an alkyl group claim 5 , an alkoxy group claim 5 , a fluoro alkyl group claim 5 , or a fluoroalkoxy group.7. The method of claim 1 , wherein the ALG includes cyclopentane claim 1 , cyclohexane claim 1 , adamantane claim 1 , norbornane claim 1 , or a derivative thereof.8. The method of claim 1 , wherein the silicon-containing unit comprises a silsesquioxane.9. The method of claim 1 , wherein ...

Подробнее
08-04-2021 дата публикации

Extreme Ultraviolet Photolithography Method With Developer Composition

Номер: US20210103213A1
Принадлежит:

The present disclosure provides a method for lithography patterning in accordance with some embodiments. The method includes forming a photoresist layer over a substrate, wherein the photoresist layer includes a metal-containing chemical; performing an exposing process to the photoresist layer; and performing a first developing process to the photoresist layer using a first developer, thereby forming a patterned resist layer, wherein the first developer includes a first solvent and a chemical additive to remove metal residuals generated from the metal-containing chemical. 1. A method comprising:forming a material layer on a substrate;forming a photoresist layer over the material layer disposed on the substrate, wherein the photoresist layer includes a metal-containing chemical;performing an exposing process to the photoresist layer;prior to performing any etching of the material layer, performing a first developing process to the photoresist layer using a first developer to pattern the photoresist layer, wherein the first developer removes metal residuals generated from the metal-containing chemical; andafter the performing of the first developing process, etching the material layer while using the patterned resist layer as a mask.2. The method of claim 1 , further comprising performing a second developing process to the photoresist layer using a second developer that is different than the first developer claim 1 , andwherein the performing of the second developing process occurs prior to performing any etching of the material layer and further occurs either before or after the performing of the first developing process.3. The method of claim 1 , further comprising performing a baking process after the performing of the exposing process such that the photoresist layer undergoes a chemical change.4. The method of claim 3 , wherein the performing of the baking process is occurs at a temperature ranging between about 120° C. and about 170° C.5. The method of claim 1 , ...

Подробнее
08-04-2021 дата публикации

Adhesion layer for multi-layer photoresist

Номер: US20210103218A1

A method is provided including forming a first layer over a substrate and forming an adhesion layer over the first layer. The adhesion layer has a composition including an epoxy group. A photoresist layer is formed directly on the adhesion layer. A portion of the photoresist layer is exposed to a radiation source. The composition of the adhesion layer and the exposed portion of the photoresist layer cross-link using the epoxy group. Thee photoresist layer is then developed (e.g., by a negative tone developer) to form a photoresist pattern feature, which may overlie the formed cross-linked region.

Подробнее
08-04-2021 дата публикации

Metal-Compound-Removing Solvent and Method in Lithography

Номер: US20210103220A1
Принадлежит:

A photoresist layer is coated over a wafer. The photoresist layer includes a metal-containing material. An extreme ultraviolet (EUV) lithography process is performed to the photoresist layer to form a patterned photoresist. The wafer is cleaned with a cleaning fluid to remove the metal-containing material. The cleaning fluid includes a solvent having Hansen solubility parameters of delta D in a range between 13 and 25, delta P in a range between 3 and 25, and delta H in a range between 4 and 30. The solvent contains an acid with an acid dissociation constant less than 4 or a base with an acid dissociation constant greater than 9. 1. A method comprising:providing a substrate having a photosensitive material thereon, the photosensitive material including a metal-containing material;cleaning the substrate with a cleaning fluid to remove the metal-containing material from the photosensitive material;during the cleaning of the substrate with the cleaning fluid, applying a purging gas to the substrate, wherein the cleaning fluid and the purging gas are different from each other; andafter the cleaning of the wafer, performing an exposure process to the photosensitive material of the cleaned substrate.2. The method of claim 1 , wherein the metal-containing material is selected from the group consisting of Caesium (Cs) claim 1 , Barium (Ba) claim 1 , Lanthanum (La) claim 1 , Indium (In) claim 1 , Cerium (Ce) claim 1 , Silver (Ag) and Tin (Sn).3. The method of claim 1 , wherein the purging gas is a gas selected from the group consisting of nitrogen and air.4. The method of claim 1 , further comprising:applying a high-contact-angle material to the substrate, wherein the applying of the high-contact-angle material makes a hydrophobic surface on the substrate; andforming the photosensitive material on the hydrophobic surface of the substrate.5. The method of claim 1 , wherein the cleaning fluid includes a solvent having Hansen solubility parameters of delta D in a range between ...

Подробнее
21-04-2016 дата публикации

PHOTORESIST HAVING DECREASED OUTGASSING

Номер: US20160108170A1
Принадлежит:

Provided is a method of fabricating a semiconductor device. A substrate is provided. A material layer is formed over the substrate. A photoresist layer is formed over the material layer. The photoresist layer contains a polymer. The polymer includes an acid labile group (ALG) that is linked to a plurality of carboxylic acid function groups. The photoresist layer is then patterned using a lithography process, for example an extreme ultraviolet (EUV) lithography process. 27-. (canceled)9. The photoresist material of claim 8 , wherein:the photoresist further contains a photo acid generator (PAG) that is configured to release acid; andthe polymer is cleavable by the acid, after which the polymer becomes more hydrophilic and is dissolvable by a basic solution.10. The photoresist material of claim 8 , wherein the polymer is configured to be applied in a positive tone developer process or in a negative tone developer process.12. The method of claim 11 , wherein the lithography process is an extreme ultraviolet (EUV) lithography process.13. The method of claim 11 , further comprising forming a material layer over the substrate claim 11 , wherein the photoresist layer is formed over the material layer.1415-. (canceled)16. The method of claim 11 , wherein the photoresist further contains a photo acid generator (PAG) claim 11 , and wherein the lithography process comprises:an optical exposure process in which the PAG releases acid; anda post-exposure baking process in which the polymer is cleaved by the acid released by the PAG.17. The method of claim 11 , wherein the polymer becomes more hydrophilic after being cleaved by the acid.18. The method of claim 11 , wherein the lithography process further comprises: after the polymer becomes more hydrophilic claim 11 , dissolving the polymer by a basic solution in a positive tone developer process or by an organic solvent in a negative tone developer process.19. The method of claim 11 , wherein the basic solution contains ...

Подробнее
26-03-2020 дата публикации

ADHESION LAYER FOR MULTI-LAYER PHOTORESIST

Номер: US20200098558A1
Принадлежит:

A method is provided including forming a first layer over a substrate and forming an adhesion layer over the first layer. The adhesion layer has a composition including an epoxy group. A photoresist layer is formed directly on the adhesion layer. A portion of the photoresist layer is exposed to a radiation source. The composition of the adhesion layer and the exposed portion of the photoresist layer cross-link using the epoxy group. Thee photoresist layer is then developed (e.g., by a negative tone developer) to form a photoresist pattern feature, which may overlie the formed cross-linked region. 1. A method comprising:forming a first layer over a substrate;forming an adhesion layer over the first layer, wherein the adhesion layer has a composition including an epoxy group;forming a photoresist layer directly on the adhesion layer;exposing a portion of the photoresist layer to a radiation source;cross-linking the composition of the adhesion layer and the exposed portion of the photoresist layer, wherein the epoxy group provides the cross-linking; anddeveloping the photoresist layer to form a photoresist pattern feature.2. The method of claim 1 , wherein the forming the adhesion layer includes spin-coating the composition.3. The method of claim 1 , wherein the composition of the adhesion layer is a silicon based polymer with the epoxy group.4. The method of claim 3 , wherein the composition is a siloxane polymer with the epoxy group.5. The method of claim 1 , wherein the cross-linking creates a cross-linked region of the photoresist layer claim 1 , wherein the cross-linked region between a portion of the exposed photoresist and the adhesion layer.6. The method of claim 1 , wherein the radiation source is an extreme ultra-violet (EUV) wavelength radiation source.7. The method of claim 1 , wherein the developing the photoresist layer includes providing a negative-tone developer.8. The method of claim 1 , wherein the forming the first layer over the substrate includes ...

Подробнее
23-04-2015 дата публикации

Anti-Reflective Layer and Method

Номер: US20150111384A1

A system and method for anti-reflective layers is provided. In an embodiment the anti-reflective layer comprises a floating component in order to form a floating region along a top surface of the anti-reflective layer after the anti-reflective layer has dispersed. The floating component may be a floating cross-linking agent, a floating polymer resin, or a floating catalyst. The floating cross-linking agent, the floating polymer resin, or the floating catalyst may comprise a fluorine atom. The anti-reflective layers are removed using a fluid.

Подробнее
03-07-2014 дата публикации

COATING MATERIAL AND METHOD FOR PHOTOLITHOGRAPHY

Номер: US20140186773A1
Автор: Chang Ching-Yu

Provided is a method including providing a substrate and forming a bottom anti-reflective coating (BARC) on the substrate. The BARC includes a first portion overlying a second portion, which has a different composition than the first portion. The different composition may provide a different dissolution property of the BARC in a developer. A photoresist layer is formed on the first portion of the BARC. The photoresist layer is then irradiated and developed. The developing includes using a developer to remove a region of the photoresist layer and a region of the first and second portions of the BARC. 1. A method , comprising:providing a substrate;forming a bottom anti-reflective coating (BARC) on the substrate, wherein the BARC includes a first portion overlying a second portion, the first and second portions having a different composition;forming a photoresist layer on the first portion of the BARC;irradiating the photoresist layer; anddeveloping the photoresist layer, wherein the developing includes using a developer to remove a region of the photoresist layer and a region of the first and second portions of the BARC.2. The method of claim 1 , wherein the forming the BARC includes forming a single layer of BARC material.3. The method of claim 2 , wherein the first and second portions of the BARC are formed by separation of a first component and a second component in the single layer of BARC material.4. The method of claim 3 , wherein the separation is provided by at least one of difference in polarity of the first component and the second component claim 3 , difference in molecular weight of the first component and the second component claim 3 , and difference in fluorine atom quantity in the first component and the second component.5. The method of claim 2 , wherein the first portion includes a photosensitive bottom antireflective coating material.6. The method of claim 5 , wherein the second portion includes a developer-soluble bottom antireflective coating ...

Подробнее
04-04-2019 дата публикации

Method for Manufacturing a Semiconductor Device

Номер: US20190103272A1
Принадлежит:

A method of manufacturing a semiconductor device includes depositing a dielectric layer over a substrate, performing a first patterning to form an opening in the dielectric layer, and depositing an oxide film over and contacting the dielectric layer and within the opening in the dielectric layer. The oxide film is formed from multiple precursors that are free of O, and depositing the oxide film includes forming a plasma of a first precursor of the multiple precursors. 1. A method of manufacturing a semiconductor device , the method comprising:placing a substrate in a deposition chamber;depositing a layer over the substrate; and flowing first precursor materials into the deposition chamber;', 'within the deposition chamber, forming a portion of the oxide layer from the first precursor materials;', 'igniting second precursor materials into a plasma, the second precursor materials being free of allotropes of oxygen; and', 'within the deposition chamber, forming a portion of the oxide layer from the plasma., 'depositing an oxide layer over the layer, comprising2. The method of claim 1 , further comprising patterning an opening in the layer.3. The method of claim 2 , wherein depositing the oxide layer comprises depositing the oxide layer within the opening in the layer.4. The method of claim 3 , further comprising patterning the oxide layer to extend the opening into the oxide layer.5. The method of claim 1 , wherein depositing the oxide layer comprises a process temperature of less than about 200° C.6. The method of claim 1 , wherein the second precursor materials are free of gaseous oxygen.7. The method of claim 1 , wherein the second precursor materials comprise CO.8. The method of claim 1 , wherein the oxide layer comprises silicon claim 1 , carbon claim 1 , or a combination thereof.9. A method of manufacturing a semiconductor device claim 1 , the method comprising:depositing a dielectric layer over a substrate;performing a first patterning to form a recess in the ...

Подробнее
04-04-2019 дата публикации

Integrated Circuit Fabrication System with Adjustable Gas Injector

Номер: US20190103295A1
Принадлежит:

The present disclosure provides a semiconductor fabrication apparatus. The semiconductor apparatus includes a processing chamber; a substrate stage provided in the processing chamber and being configured to secure and rotate a semiconductor wafer; a gas injector configured to inject a chemical to the processing chamber; a window attached to the gas injector; and an adjustable fastening device coupled with the gas injector and the window. 1. A semiconductor fabrication apparatus , comprising:a processing chamber;a substrate stage provided in the processing chamber and being configured to secure and rotate a semiconductor wafer;a gas injector configured to inject a chemical to the processing chamber;a window attached to the gas injector; andan adjustable fastening device coupled with the gas injector and the window.2. The semiconductor apparatus of claim 1 , further comprising:an O-ring provided on the gas injector; anda gas injector cover disposed on the window, wherein the window is interposed between the O-ring and the gas injector cover and directly contacting both the O-ring and the gas injector cover.3. The semiconductor apparatus of claim 2 , wherein the window is made of liquid silicon rubber.4. The semiconductor apparatus of claim 2 , wherein the adjustable fastening device includes a base plate configured on the gas injector cover; and a plurality of fasteners to fasten the gas injector cover to the gas injector.5. The semiconductor apparatus of claim 4 , wherein the gas injector cover has an inclined top plane and the base plate is configured to directly contact the inclined top plane of the gas injector cover.6. The semiconductor apparatus of claim 4 , wherein each of the fasteners includes a bolt claim 4 , a first nut and a second nut both sleeved on the bolt claim 4 , wherein the first nut is configured above the base plate and the second nut is configured between the base plate and the gas injector cover.7. The semiconductor apparatus of claim 6 , ...

Подробнее
04-04-2019 дата публикации

METHOD FOR FORMING VIAS AND METHOD FOR FORMING CONTACTS IN VIAS

Номер: US20190103306A1
Принадлежит:

A method for forming openings in an underlayer includes: forming a photoresist layer on an underlayer formed on a substrate; exposing the photoresist layer; forming photoresist patterns by developing the exposed photoresist layer, the photoresist patterns covering regions of the underlayer in which the openings are to be formed; forming a liquid layer over the photoresist patterns; after forming the liquid layer, performing a baking process so as to convert the liquid layer to an organic layer in a solid form; performing an etching back process to remove a portion of the organic layer on a level above the photoresist patterns; removing the photoresist patterns, so as to expose portions of the underlayer by the remaining portion of the organic layer; forming the openings in the underlayer by using the remaining portion of the organic layer as an etching mask; and removing the remaining portion of the organic layer. 1. A method for forming openings in an underlayer , the method comprising:forming a photoresist layer on an underlayer formed on a substrate;exposing the photoresist layer;forming photoresist patterns by developing the exposed photoresist layer with a development solution, the photoresist patterns covering regions of the underlayer in which the openings are to be formed;forming a liquid layer over the photoresist patterns;converting the liquid layer to an organic layer in a solid form;removing a portion of the organic layer on a level above the photoresist patterns;removing the photoresist patterns and leaving a remaining portion of the organic layer, so as to partially expose portions of the underlayer;forming the openings in the underlayer by using the remaining portion of the organic layer as an etching mask; andremoving the remaining portion of the organic layer.2. The method of claim 1 , wherein the photoresist layer is a negative photoresist layer made of a metallic photoresist material.3. The method of claim 2 , wherein the metallic photoresist ...

Подробнее
02-04-2020 дата публикации

METHOD OF PATTERNING RESIST LAYER AND METHOD OF FORMING SEMICONDUCTOR STRUCTURE USING PATTERNED RESIST LAYER

Номер: US20200105521A1
Принадлежит:

A method of patterning a resist layer is provided. The method includes forming the resist layer over the top surface of a silicon-containing layer that has a first contact angle. The method also includes exposing and developing the resist layer to form a patterned resist layer and expose a portion of the top surface of the silicon-containing layer. The method also includes applying a treating compound to the exposed portion of the top surface of the silicon-containing layer, so that the exposed portion of the top surface has a second contact angle that is greater than the first contact angle. The method also includes reflowing the patterned resist layer over the top surface of the silicon-containing layer having the second contact angle. 1. A method of patterning a resist layer , comprising:forming the resist layer over a top surface of a silicon-containing layer that has a first contact angle;exposing and developing the resist layer to form a patterned resist layer and expose a portion of the top surface of the silicon-containing layer;applying a treating compound to the exposed portion of the top surface of the silicon-containing layer, so that the exposed portion of the top surface has a second contact angle that is greater than the first contact angle; andreflowing the patterned resist layer over the top surface of the silicon-containing layer having the second contact angle.2. The method as claimed in claim 1 , wherein the silicon-containing layer comprises a hydrophilic group that reacts with the treating compound.3. The method as claimed in claim 2 , wherein the silicon-containing layer is formed of an inorganic polymer and the hydrophilic group is a hydroxyl group.4. The method as claimed in claim 2 , wherein the treating compound comprises a C-Corganic group.5. The method as claimed in claim 4 , wherein the treating compound further comprises a first functional group and a second functional group bonded to the C-Corganic group claim 4 , and wherein the ...

Подробнее
02-04-2020 дата публикации

PHOTORESIST COMPOSITION AND METHOD OF FORMING PHOTORESIST PATTERN

Номер: US20200105522A1
Принадлежит:

A method of forming a photoresist pattern includes forming an upper layer including a floating additive polymer over a photoresist layer formed on a substrate. The photoresist layer is selectively exposed to actinic radiation. The photoresist layer is developed to form a pattern in the photoresist layer, and the upper layer is removed. The floating additive polymer is a siloxane polymer. 1. A method of forming a photoresist pattern , comprising:forming an upper layer including a siloxane polymer over a photoresist layer formed on a substrate;selectively exposing the photoresist layer to actinic radiation;developing the photoresist layer to form a pattern in the photoresist layer; andremoving the upper layer.3. The method according to claim 1 , further comprising heating the photoresist layer before forming the upper layer.4. The method according to claim 1 , wherein the photoresist layer includes metal oxide nanoparticles.5. The method according to claim 1 , further comprising heating the photoresist layer and upper layer after selectively exposing the photoresist layer.6. The method according to claim 1 , wherein the upper layer contains at least 5 wt. % of the siloxane polymer based on the total weight of the upper layer.7. The method according to claim 1 , wherein the concentration of Si in the siloxane polymer ranges from 15 wt. % to 42 wt. % based on the weight of the siloxane polymer.8. The method according to claim 1 , further comprising performing a de-scum operation after developing the photoresist layer.9. The method according to claim 1 , wherein the photoresist pattern is formed without forming an additional silicon-containing layer under the photoresist layer.10. A method of forming a photoresist pattern claim 1 , comprising:disposing a mixture of a floating additive polymer and a photoresist over a substrate;rotating the substrate with the mixture disposed thereon, wherein the floating additive polymer separates from the photoresist rising to an upper ...

Подробнее
18-04-2019 дата публикации

Immersion Lithography System Using a Sealed Wafer Bath

Номер: US20190113855A1
Принадлежит:

Immersion lithography system and method using a sealed wafer bottom are described. One embodiment is an immersion lithography apparatus comprising a lens assembly comprising an imaging lens and a wafer stage for retaining a wafer beneath the lens assembly, the wafer stage comprising a seal ring disposed on a seal ring frame along a top edge of the wafer retained on the wafer stage, the seal ring for sealing a gap between an edge of the wafer and the wafer stage. The embodiment further includes a fluid tank for retaining immersion fluid, the fluid tank situated with respect to the wafer stage for enabling full immersion of the wafer retained on the wafer stage in the immersion fluid and a cover disposed over at least a portion of the fluid tank for providing a temperature-controlled, fluid-rich environment within the fluid tank. 1. An apparatus comprising:a fluid container disposed over a wafer stage, the fluid container having a wall for retaining fluid over the wafer stage; anda lens assembly disposed over the wafer stage, andwherein the wall of the fluid container is moveable with respect to another portion of the fluid container to allow the fluid to flow out of the fluid container.2. The apparatus of claim 1 , further comprising a cover disposed over the fluid container.3. The apparatus of claim 2 , wherein the cover includes an inlet configured to allow the fluid to flow into the fluid container.4. The apparatus of claim 2 , wherein the cover is coupled to the lens assembly.5. The apparatus of claim 1 , further comprising a vacuum system in communication with the wafer stage and configured to secure a wafer to the wafer stage via a vacuum force.6. The apparatus of claim 1 , further comprising a seal ring disposed around the wafer stage and configure to secure a wafer to the wafer stage.7. The apparatus of claim 1 , wherein at least a portion of the lens assembly is disposed within the fluid container.8. An apparatus comprising:a fluid tank disposed over a wafer ...

Подробнее
09-04-2020 дата публикации

Photosensitive Material and Method of Lithography

Номер: US20200110338A1

Materials directed to a photosensitive material and a method of performing a lithography process using the photosensitive material are described. A semiconductor substrate is provided. A first layer including a floating additive is formed over the semiconductor substrate. A second layer including an additive component having a metal cation is formed over the first layer. One or more bonds are formed to bond the metal cation and one or more anions. Each of the one or more anions is one of a protecting group and a polymer chain bonding component. The polymer chain bonding component is bonded to a polymer chain of the layer. The second layer is exposed to a radiation beam.

Подробнее
18-04-2019 дата публикации

OVERLAY MARK

Номер: US20190115303A1
Принадлежит:

A method of forming an overlay mark includes disposing a first feature of a plurality of first alignment segments extending along a first direction in a first layer, disposing a second feature of a plurality of second alignment segments extending along a second direction in a second layer over the first layer, and forming a third feature of a plurality of third alignment segments extending along the first direction and a plurality of fourth alignment segments extending along the second direction in a third layer over the second layer. In a plan view, each first alignment segment of the first alignment segments is adjacent to a corresponding third alignment segment of the third alignment segments along the first direction, and each second alignment segment of the second alignment segments is adjacent to a corresponding fourth alignment segment of the fourth alignment segments along the second direction. 1. A method of forming an overlay mark , comprising:disposing a first feature in a first layer, wherein the first feature comprises a plurality of first alignment segments extending along a first direction;disposing a second feature in a second layer over the first layer, wherein the second feature comprises a plurality of second alignment segments extending along a second direction different from the first direction; andpatterning a third layer over the second layer to form a third feature in the third layer, wherein the third feature comprises a plurality of third alignment segments extending along the first direction and a plurality of fourth alignment segments extending along the second direction,wherein, in a plan view, each first alignment segment of the plurality of first alignment segments is adjacent to a corresponding third alignment segment of the plurality of third alignment segments along the first direction, and each second alignment segment of the plurality of second alignment segments is adjacent to a corresponding fourth alignment segment of the ...

Подробнее
05-05-2016 дата публикации

Cut-Mask Patterning Process for FIN-Like Field Effect Transistor (FINFET) Device

Номер: US20160124300A1

Disclosed is a mask for use in a lithography system having a defined resolution. The mask comprises first and second patterns that are greater than the defined resolution and a sub-resolution feature that is less than the defined resolution. Portions of the first and second patterns are positioned close to each other and separated by the sub-resolution feature in an intersection area. The size and shape of the sub-resolution feature are such that when the mask is used in the lithography system, a resulting pattern includes the first and second patterns interconnected with each other through the interconnection area.

Подробнее