Настройки

Укажите год
-

Небесная энциклопедия

Космические корабли и станции, автоматические КА и методы их проектирования, бортовые комплексы управления, системы и средства жизнеобеспечения, особенности технологии производства ракетно-космических систем

Подробнее
-

Мониторинг СМИ

Мониторинг СМИ и социальных сетей. Сканирование интернета, новостных сайтов, специализированных контентных площадок на базе мессенджеров. Гибкие настройки фильтров и первоначальных источников.

Подробнее

Форма поиска

Поддерживает ввод нескольких поисковых фраз (по одной на строку). При поиске обеспечивает поддержку морфологии русского и английского языка
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Ведите корректный номера.
Укажите год
Укажите год

Применить Всего найдено 9810. Отображено 200.
22-05-2018 дата публикации

Номер: RU2015154983A3
Автор:
Принадлежит:

Подробнее
08-04-2021 дата публикации

Haltevorrichtung für Wafer, PECVD-Abscheidevorrichtung und Verwendung der Haltevorrichtung

Номер: DE102020107167B3
Принадлежит: HANWHA Q CELLS GMBH, Hanwha Q CELLS GmbH

Die Erfindung betrifft eine Haltevorrichtung zum Halten mehrerer Substrate bei einer plasmaunterstützten Abscheidung einer Schicht aus der Gasphase auf den Substraten, die als Boot für eine PECVD -Abscheidevorrichtung ausgebildet ist und aufweist:- parallel zueinander angeordnete Trägerplatten zum Tragen der Substrate während der Abscheidung, wobei die Trägerplatten gegeneinander isoliert wechselweise mit Anschlüssen eines Wechselspannungsgenerators verbunden sind,- elektrisch isolierende Abstandshalter (11), die zwischen den Trägerplatten angeordnet sind und ausgebildet sind, um die Trägerplatten voneinander zu beabstanden und elektrisch voneinander zu isolieren,- wobei die Abstandshalter (11) jeweils durch eine Ummantelung (12) mit einem Ummantelungs-Innendurchmesser (d1) umgeben sind, der größer als ein Außendurchmesser (D3) des Abstandshalters (11) ist, den sie umgibt, so dass sich ein Hohlraum (4) zwischen der Ummantelung (12) und dem Abstandshalter (11) befindet.Ferner betrifft die ...

Подробнее
25-06-2015 дата публикации

Trockenätzungsvorrichtung und Elektrode davon

Номер: DE102014209466A1
Принадлежит:

Die Erfindung offenbart eine Trockenätzungsvorrichtung und eine Elektrode davon. Die Elektrode weist auf: eine Elektrodenbasis; eine Isolationsschicht, welche auf der Elektrodenbasis angeordnet ist; und eine Randstufe, welche umfänglich auf der Isolationsschicht angeordnet ist, wobei die Randstufe mindestens eine Stütze für mindestens einen Heberstift der Trockenätzungsvorrichtung aufweist; wobei die Randstufe verschiedene Prägungen aufweist, welche umfänglich auf der Randstufe angeordnet sind. Die verschiedenen Prägungen sind umfänglich auf der Randstufe der Elektrode von der Trockenätzungsvorrichtung derart angeordnet, dass kleine Lücken um die verschiedenen Prägungen herum zwischen dem Substrat und der Randstufe bestehen. Daher kann die Kraft eines Anhaftens zwischen dem Substrat und der Randstufe reduziert werden, das Phänomen eines Ansaugens kann effizient verbessert werden, die Ausbeute bzw. Produktion des geätzten Substrats kann verbessert werden, und die Lebensdauer der Elektrode ...

Подробнее
25-05-2016 дата публикации

A microwave plasma reactor for manufacturing synthetic diamond material

Номер: GB0002532532A
Принадлежит:

Microwave plasma reactor (MW-CVD) for chemical vapour deposition (CVD) is described. The plasma chamber 2 forms a resonant cavity 10 for supporting primary microwave resonance mode with a primary microwave resonance mode frequency (f). A number of microwave sources are coupled to the plasma chamber 10 to generate and feed microwaves with a total microwave power (PT) into the plasma chamber 2. A gas flow system 12,14 for feeding process gases into the plasma chamber and removing them is detailed, together with a substrate holder 16 located in the plasma chamber and comprising a supporting surface for supporting a substrate 18 on which the synthetic diamond material is to be deposited in use.

Подробнее
05-02-2020 дата публикации

Method and apparatus for plasma etching

Номер: GB0201919215D0
Автор:
Принадлежит:

Подробнее
15-07-2011 дата публикации

MAGNETIC LOCK FOR A STEAM SEPARATION DEVICE

Номер: AT0000516390T
Принадлежит:

Подробнее
11-11-2004 дата публикации

Transmitting/receiving terminal apparatus

Номер: AU2004201468A1
Принадлежит:

Подробнее
29-06-2017 дата публикации

VACUUM-COATING SYSTEM FOR COATING LENSES

Номер: CA0003008547A1
Принадлежит:

The invention relates to a vacuum-coating system for coating lenses, comprising a vacuum chamber, an electrode holder (14) having one or more electrodes (16), and a lens holder receptacle (18) having one or more lens holders (17) for accommodating one lens (19) each. To each lens (19), a separate electrode (16) is assigned. A surface of the electrode (16) located opposite the lens (19) is a curved surface. In an outer region (8), the curvature of the surface of the electrode (n) (16) can be greater than in an inner region (9). The distance between the electrode (16) and the associated lens (19) can be adjustable.

Подробнее
06-11-2014 дата публикации

VERSATILE HOLDER FOR THE SURFACE TREATMENT OF ROD-SHAPED SUBSTRATES

Номер: CA0002921064A1
Принадлежит:

The invention relates to a holder for securing at least one rod-shaped substrate body (10) having a variable cross-section along the substrate body and having a substrate body region BQ1 comprising a surface to be treated. The holder comprises at least one perforated wall as the front wall (3), said wall having at least one opening (5), and also comprises a support element (9) and a retaining element (11). The support element (9) is constructed and/or secured in the opening (5) in such a way that at least part of the substrate body can be arranged longitudinally and obliquely in the support element (9) and the retaining element (11) is constructed and/or secured in the opening (5) in such a way that said retaining element (11) can retain the substrate body at a point between the region BQ1 and the remainder of the substrate body such that the region BQ1 protrudes from the holder through the opening (5).

Подробнее
30-06-2017 дата публикации

Vacuum coating system for coating lens.

Номер: CH0000711990A2
Принадлежит:

Eine Vakuumbeschichtungsanlage zum Beschichten von Linsen gemäss der Erfindung umfasst eine Vakuumkammer, eine Elektrodenhalterung (14) mit einer oder mehreren Elektroden (16) und eine Linsenhalteraufnahme (18) mit einem oder mehreren Linsenhaltern (17) zum Aufnehmen von je einer Linse (19). Jeder Linse (19) ist eine separate Elektrode (16) zugeordnet. Eine der Linse (19) gegenüberliegende Oberfläche der Elektrode (16) ist eine gekrümmte Fläche. Die Krümmung der Oberfläche der Elektrode(n) (16) kann in einem äusseren Bereich grösser als in einem inneren Bereich sein. Der Abstand zwischen der Elektrode (16) und der zugehörigen Linse (19) kann verstellbar sein.

Подробнее
31-08-2015 дата публикации

DEVICE AND METHOD TO PREVENT DAMAGE OF THE SUBSTRATE IN PLASMA INSTALLATION, IN WHICH IS USED DBD

Номер: EA0201492247A1
Автор:
Принадлежит:

Подробнее
16-04-2014 дата публикации

Vacuum processing device and vacuum processing method

Номер: CN103733318A
Принадлежит:

Provided are a vacuum processing device and a vacuum processing method with which an insulating substrate can be adhered and held tightly when plasma processing is performed. A vacuum processing device (1) has a grounded vacuum chamber (11), a vacuum exhaust device (19) connected to the vacuum chamber (11), an adsorption device (40) arranged within the vacuum chamber (11), an adsorption-use power supply (16) that applies an output voltage to a monopole (3) provided on the adsorption device (40), a plasma-generating gas introduction device (21) that introduces a plasma-generating gas into the vacuum chamber (11), and a plasma generation unit (20) that converts the plasma-generating gas to a plasma. An object (6) to be processed is arranged on the adsorption device (40) and an output voltage is applied to the monopole (3) by the adsorption-use power supply (16) while plasma is generated in the vacuum chamber (11), and the object (6) to be processed is processed by means of the plasma while ...

Подробнее
22-08-2017 дата публикации

Variable depth edge ring for etch uniformity control

Номер: CN0107086168A
Принадлежит:

Подробнее
21-04-2020 дата публикации

Electrostatic positioning disk assembly with metal-bonded backing plate for high temperature processing

Номер: CN0107646136B
Автор:
Принадлежит:

Подробнее
31-08-2016 дата публикации

Is provided with a substrate heater and symmetrical RF circuit substrate support

Номер: CN0103650110B
Автор:
Принадлежит:

Подробнее
23-12-2015 дата публикации

PHYSICAL VAPOR DEPOSITION METHOD WITH A SOURCE OF ISOTROPIC ION VELOCITY DISTRIBUTION AT THE WAFER SURFACE

Номер: KR0101579742B1

... 작업물 위로의 물질의 플라즈마 강화 물리적 기상 증착에서, 금속 타겟은 작업물의 직경 미만의 타겟-투-작업물 갭을 가로질러 작업물에 대향한다. 캐리어 가스가 챔버 내에 유입되고, 챔버 내의 가스 압력은 임계 압력을 초과하게 유지되는데, 임계 압력에서 평균 자유 경로는 갭의 5% 미만이다. VHF 발생기로부터의 RF 플라즈마 소스 전력이 타겟에 인가되어 타겟에 용량 결합 플라즈마를 발생시키는데, VHF 발생기는 30 MHz를 초과하는 주파수를 갖는다. 플라즈마는 VHF 발생기의 주파수에서 작업물을 통하여 제 1 VHF 접지 리턴 경로를 제공함으로써 갭을 가로질러 작업물까지 연장된다.

Подробнее
20-03-2018 дата публикации

플라스마 처리 장치

Номер: KR0101840231B1

... 본 발명은 구조가 간단하고 높은 응답성을 가진 플라스마 처리 장치를 제공하는 것을 과제로 한다.이러한 과제의 해결 수단으로서, 진공 용기 내부에 배치되고 내측을 감압 가능한 처리실과, 이 처리실 내에 배치되고 처리 대상의 시료가 놓여서 유지되는 시료대와, 상기 처리용 가스를 이용해서 플라스마를 형성하는 플라스마 형성 수단을 구비하고, 상기 시료를 상기 플라스마를 이용해서 처리하는 플라스마 처리 장치로서, 상기 시료대를 구성하며 접지된 금속제의 기재(基材)의 위쪽에 배치되고 내측에 고주파 전력이 공급되는 막 형상의 전극을 구비한 유전체의 막과, 상기 기재 내의 공간에 배치되고 발열 또는 냉각하는 기능을 구비한 복수의 소자와, 이들 복수의 소자에 전력을 공급하는 급전(給電) 경로를 구비하고, 이 급전 경로 상에 상기 고주파를 억제하는 필터를 갖고 있지 않다.

Подробнее
27-12-2017 дата публикации

플라즈마 처리 장치 및 반도체 장치의 제조 방법

Номер: KR0101812646B1
Принадлежит: 도쿄엘렉트론가부시키가이샤

... (과제) 반도체 웨이퍼 등의 기판과, 하부 전극의 기재 또는 그 주변의 구조물과의 사이에서 방전이 발생하는 것을 방지할 수 있고, 수율을 향상시켜 생산성의 향상을 도모할 수 있는 플라즈마 처리 장치 및 반도체 장치의 제조 방법을 제공한다. (해결 수단) 처리 챔버와, 하부 전극과, 상부 전극과, 피(被)처리 기판을 지지하기 위한 복수의 리프터 핀을 구비하고, 리프터 핀은, 핀 본체부와, 당해 핀 본체부의 정수리부에 설치되고 핀 본체부의 외경보다 큰 외경을 갖는 덮개부를 구비하고, 하부 전극은, 덮개부의 외경보다 작은 내경을 갖고 핀 본체부를 수용하는 핀 본체 수용부와, 당해 핀 본체 수용부의 상부에 형성되어 덮개부를 수용하는 덮개 수용부를 갖고, 내부에 리프터 핀이 배설되는 리프터 핀용 투공(through hole)을 구비하여, 리프터 핀을 하강시킨 상태에서는 덮개부가 덮개 수용부 내에 수용되어, 핀 본체 수용부의 상부가 덮개부에 의해 폐색된 상태가 된다.

Подробнее
19-12-2018 дата публикации

활성 가스 생성 장치

Номер: KR1020180135041A
Принадлежит:

... 본 발명은 고밀도의 활성 가스를 균일성 높게, 비교적 고속으로 생성할 수 있는 활성 가스 생성 장치를 제공하는 것을 목적으로 한다. 그리고, 본 발명은 활성 가스 생성용 전극군 및 노즐 구성부의 하방에 가스 분류용 정류기(70)를 마련하여 활성 가스 생성 장치를 구성하고 있다. 가스 분류용 정류기(70)는, 활성 가스가 복수의 노즐 통과 후 가스를 가스 정류 통로(71)의 입구부(711)에서 일괄하여 받는다. 가스 정류 통로(71)는, 출구부(710)의 출구 개구 면적이 입구부(711)의 입구 개구 면적보다 좁게 설정되며, 또한 가스 정류 통로(71)에 의한 정류 동작에 의해, 복수의 노즐 통과 후 활성 가스 각각의 원기둥형 가스 분류가, 라인형 정류 후 활성 가스로 변환되게 형성된다.

Подробнее
17-10-2016 дата публикации

안정화된 고온 증착을 위한 가스 냉각식 기판 지지부

Номер: KR1020160120339A
Принадлежит:

... 본 개시의 실시예들은, 기판 지지부에서의 냉각 채널들의 유입구로 냉각 가스의 유동을 유동시키고, 열 교환기를 사용하여, 냉각 채널의 배출구로부터 냉각 가스의 유동을 수용하고, 클린룸 또는 국소환경과 같은 인접한 환경으로 냉각 가스를 방출함으로써, 기판 온도를 안정화시키기 위한 장치 및 방법을 제공한다.

Подробнее
22-04-2020 дата публикации

Polishing electrostatic substrate support geometries

Номер: KR1020200042027A
Принадлежит:

Подробнее
10-06-2014 дата публикации

A COMPONENT OF A SUBSTRATE SUPPORT ASSEMBLY PRODUCING LOCALIZED MAGNETIC FIELDS

Номер: KR1020140070607A
Автор:
Принадлежит:

Подробнее
10-06-2014 дата публикации

SUBSTRATE SUPPORTING UNIT AND APPARATUS OF TREATING SUBSTRATE HAVING THE SAME

Номер: KR1020140070049A
Автор:
Принадлежит:

Подробнее
26-12-2019 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: KR1020190142233A
Автор:
Принадлежит:

Подробнее
30-04-2019 дата публикации

Номер: KR1020190044260A
Автор:
Принадлежит:

Подробнее
12-11-2019 дата публикации

SEMICONDUCTOR SUBSTRATE PROCESSING APPARATUS AND METHOD

Номер: KR1020190126726A
Автор:
Принадлежит:

Подробнее
25-07-2018 дата публикации

내플라즈마성 부재

Номер: KR1020180084876A
Принадлежит:

... 기재와, 상기 기재의 표면에 형성되어 이트리아 다결정체를 포함하고 내플라즈마성을 갖는 층상 구조물을 구비하고, 상기 층상 구조물을 구성하는 이트리아 다결정체에 포함되는 결정자끼리는 이상을 통해서 접합되어 있지 않고, 상기 층상 구조물을 구성하는 이트리아 다결정체는 입방정만을 포함하는 결정 구조 또는 입방정과 단사정이 혼재한 결정 구조를 갖고, 상기 층상 구조물을 구성하는 이트리아 다결정체 중에 있어서의 입방정에 대한 단사정의 비율의 평균값은 0%보다 크고 60% 이하인 것을 특징으로 하는 내플라즈마성 부재.

Подробнее
22-09-2015 дата публикации

기판 프로세스 챔버를 위한 핀형 셔터 디스크

Номер: KR1020150106953A
Принадлежит:

... 프로세스 챔버들에서 사용하기 위한 셔터 디스크들이 본원에서 제공된다. 몇몇 실시예들에서, 프로세스 챔버에서 사용하기 위한 셔터 디스크는, 외측 둘레를 갖는 본체, 본체의 정상부 표면 ― 정상부 표면은, 실질적으로 수평인 평면 표면을 갖는 중앙 부분, 및 중앙 부분의 방사상 외측으로 배치된 적어도 하나의 경사진 구조를 포함하고, 적어도 하나의 경사진 구조의 각각은 정상부 부분 및 정상부 부분으로부터 방사상 외측 방향으로 외측 둘레를 향하여 하방 각도로 배치된 경사진 표면을 가짐 ―, 및 본체의 바닥부 표면을 포함할 수 있다.

Подробнее
25-10-2019 дата публикации

Substrate processing apparatus and substrate processing method

Номер: KR1020190121253A
Автор:
Принадлежит:

Подробнее
23-08-2019 дата публикации

Номер: KR1020190098918A
Автор:
Принадлежит:

Подробнее
19-06-2019 дата публикации

Номер: KR1020190069602A
Автор:
Принадлежит:

Подробнее
14-08-2014 дата публикации

SUBSTRATE PROCESSING APPARATUS AND METHOD OF DEPOSITING A FILM

Номер: KR1020140100442A
Автор:
Принадлежит:

Подробнее
08-04-2020 дата публикации

PROCESS CHAMBER FOR ETCHING LOW K AND OTHER DIELECTRIC FILMS

Номер: KR1020200037451A
Принадлежит:

Подробнее
12-01-2011 дата публикации

PHYSICAL VAPOR DEPOSITION METHOD WITH A SOURCE OF ISOTROPIC ION VELOCITY DISTRIBUTION AT THE WAFER SURFACE

Номер: KR1020110003489A
Автор:
Принадлежит:

Подробнее
27-12-2022 дата публикации

플라즈마 처리 장치

Номер: KR20220169404A
Принадлежит:

... [과제] 플라즈마 처리에 이용되는 링 어셈블리를 적절히 승강 가능한 리프트 핀 기구를 구비한 플라즈마 처리 장치를 제공한다. [해결 수단] 플라즈마 처리 챔버와, 플라즈마 처리 챔버 내에 플라즈마를 생성하는 플라즈마 생성부와, 플라즈마 처리 챔버 내에 배치되는 기판 지지부를 구비하며, 상기 기판 지지부는, 기대와, 기판 지지면을 갖는 정전 척과, 기판 지지면 상의 기판을 둘러싸도록 배치되는 제 1 환상 부재와, 제 1 환상 부재의 하방에 배치되고 복수의 관통 구멍을 갖는 제 2 환상 부재와, 복수의 관통 구멍의 각각 대응해 배치되고, 제 1 폭을 갖는 상측 부분과, 제 1 폭보다 큰 제 2 폭을 갖는 하측 부분을 구비하며, 상측 부분은 제 2 환상 부재의 대응하는 관통 구멍을 거쳐서 제 1 환상 부재를 지지하는 복수의 리프트 핀과, 복수의 리프트 핀 중 적어도 1개에 고정되고, 상기 상측 부분을 둘러싸도록 상기 하측 부분 상에 배치되며, 상기 제 2 환상 부재를 지지하는 적어도 1개의 스페이서와, 복수의 리프트 핀을 종방향으로 이동시키는 적어도 1개의 액추에이터를 포함하는, 플라즈마 처리 장치.

Подробнее
07-02-2023 дата публикации

지지 유닛, 이를 포함하는 기판 처리 장치 및 기판 처리 방법

Номер: KR20230017964A
Автор: 박윤석, 김형준
Принадлежит:

... 본 발명은 기판을 처리하는 장치를 제공한다. 기판을 처리하는 장치는 기판을 처리하는 처리 공간을 가지는 하우징, 상기 처리 공간에서 기판을 지지하는 지지 유닛, 상기 처리 공간 내로 공정 가스를 공급하는 가스 공급 유닛 및 상기 공정 가스로부터 플라즈마를 발생시키는 플라즈마 소스를 포함하되, 상기 지지 유닛은 상면에 기판이 놓이는 유전판, 상기 유전판에 놓인 기판의 둘레를 감싸는 상부 링, 상기 상부 링의 온도를 측정하는 온도 센서, 상기 상부 링을 승하강시키는 제1승강 부재 및 제어기를 포함하고, 상기 제어기는 상기 온도 센서에서 측정된 상기 상부 링의 온도를 기반으로 산출된 상기 상부 링의 식각량에 따라, 상기 상부 링의 높이가 변경되도록 상기 제1승강 부재를 제어할 수 있다.

Подробнее
02-02-2023 дата публикации

분산된 플라즈마 소스 어레이

Номер: KR20230016644A
Принадлежит:

... 기판 프로세싱 시스템은 윈도우를 포함하는 프로세싱 챔버를 포함한다. 기판 지지부는 플라즈마 프로세싱 동안 기판을 지지하도록 프로세싱 챔버 내부에 배치된다 (arrange). 제 1 어레이는 프로세싱 챔버에 인접하게 그리고 프로세싱 챔버 외부에 배치된 E 개의 유도 코일들을 포함하고, 여기서 E는 3보다 보다 큰 정수이다. 제 2 어레이는 무선 주파수 (radio frequency; RF) 전력을 제 1 어레이로 출력하고, 그리고 프로세싱 챔버 내부에 플라즈마를 생성하도록 구성된 D 개의 RF 직접 구동 회로들을 포함하고, 여기서 D는 3보다 보다 큰 정수이다.

Подробнее
10-03-2023 дата публикации

기판 지지 유닛과 이를 가지는 기판 처리 장치 및 링 반송 방법

Номер: KR20230034676A
Автор: 신재원
Принадлежит:

... 본 발명은 기판 지지 유닛을 제공한다. 일 실시예에서, 기판 지지 유닛은, 기판이 놓이는 지지판과; 지지판에 놓인 기판을 감싸도록 제공되는 제1링과; 제1링의 아래에 제공되며 관통공이 형성된 제2링; 및 제1링 및 제2링을 승하강 시키는 리프트 핀 어셈블리를 포함하되, 리프트 핀 어셈블리는, 관통공에 삽입 가능하도록 제공되며 제1링을 승하강시키는 제1핀과; 제2링을 승하강 시키며 내부에 제1핀이 통과하는 통공이 형성된 중공축 형상의 제2핀과; 기준점, 제1위치 그리고 제2위치 간에 제2핀을 승하강시키는 구동부를 포함하고, 상부에서 바라볼 때 관통공은 제1링과 중첩되고, 제1핀은, 핀 형상의 바디와; 바디의 반경 방향으로 바디를 관통하는 중공부;를 포함하며, 중공부 내에 양 단에 볼 부재가 장착된 스프링이 삽입되며, 제2핀의 내경에는 볼 부재가 삽입 가능하게 제공되는 홈이 형성되고, 제2핀이 기준점으로부터 제1위치까지 상승하는 동안 볼 부재가 홈에 삽입되며 스프링의 탄성력에 의해 제1핀과 제2핀의 움직임이 구속될 수 있다.

Подробнее
06-04-2023 дата публикации

부품의 형성 방법 및 플라즈마 처리 장치

Номер: KR20230047221A
Принадлежит:

... 플라즈마 처리 장치 내에서 사용되는 부품의 형성 방법으로서, 제 1 세라믹스의 원료와 이 제 1 세라믹스와는 상이한 제 2 세라믹스의 원료를 공급하면서, 상기 제 1 세라믹스의 원료와 상기 제 2 세라믹스의 원료에 에너지 빔을 조사하는 공정을 포함하는, 부품의 형성 방법이 제공된다.

Подробнее
26-04-2023 дата публикации

플라즈마 처리 장치 및 이를 이용한 반도체 소자 제조방법

Номер: KR20230055550A
Принадлежит:

... 본 발명의 일 실시예는, 기판이 처리되는 내부 공간을 갖는 공정 챔버; 상기 내부 공간의 상부에 배치되는 상부 전극; 상기 내부 공간의 하부에 배치되는 하부 전극; 상기 하부 전극 상에 체결 부재에 의해 체결되며, 상기 기판이 안착되는 상면을 갖는 원통형의 몸체부를 가지며, 상기 몸체부의 외주면에 돌출되며 상기 하부 전극과 접하는 돌출부를 가지며, 상기 상면에 상기 기판을 승하강하는 리프트 핀이 배치된 정전 척(electrostatic chuck) - 상기 돌출부는 상부면에 상기 체결 부재가 수용되는 오목부를 가짐-; 상기 오목부를 덮도록 상기 돌출부의 상기 상부면에 배치되며, 평탄한 상부 표면을 갖는 커버; 및 상기 몸체부의 상기 외주면을 감싸도록 배치되는 지지 링을 포함하는 플라즈마 처리 장치를 제공한다.

Подробнее
15-03-2023 дата публикации

플라스마 처리 장치

Номер: KR20230036996A
Принадлежит:

... [과제] 샤워 헤드의 복수의 가스 구멍 안에 있어서의 가스의 해리를 억제하는 기술을 제공한다. [해결 수단] 개시되는 플라스마 처리 장치는, 챔버, 기판 지지부, 상부 전극, 및 적어도 하나의 전원을 구비한다. 챔버는, 그 내부에 처리 공간을 제공하고 있다. 기판 지지부는, 챔버 내에 마련되어 있다. 상부 전극은, 처리 공간의 위쪽으로부터 처리 공간에 가스를 도입하는 샤워 헤드를 구성하고 있다. 상부 전극은, 제 1 전극 및 제 2 전극을 포함한다. 제 1 전극은, 처리 공간을 향해 개구된 복수의 제 1 가스 구멍을 제공한다. 제 2 전극은, 제 1 전극 상에 직접적으로 또는 간접적으로 마련되어 있고, 복수의 제 1 가스 구멍에 각각 연통하는 복수의 제 2 가스 구멍을 제공한다. 적어도 하나의 전원은, 제 2 전극의 전위를 제 1 전극의 전위보다 높은 전위로 설정하도록 구성되어 있다.

Подробнее
19-01-2021 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: KR102205228B1
Принадлежит: 도쿄엘렉트론가부시키가이샤

... [과제] 플라즈마 처리를 실시할 때, 기판의 탑재대로부터의 부분적인 박리를, 기판의 크기에 상관없이 정밀도 좋게 검지하는 기술을 제공한다. [해결 수단] 정전 흡착 전극에 직류 전압을 인가해서, 글라스 기판을 탑재대에 정전 흡착시키고, 직류 전압의 변화에 의해, 글라스 기판의 탑재대로부터의 박리를 검출하는 플라즈마 처리 장치에 있어서, 정전 흡착 전극에 인가되는 직류 전압을 측정해서 전압 측정치를 취득하고 있다. 또한, 전압 측정치와 가공된 전압 설정치의 차분치를 취득하고, 차분치를 증폭해 차분 증폭치를 취득하고 있다. 그리고 차분 증폭치와 임계치를 비교해, 차분 증폭치가 임계치를 넘고 있는 경우에, 플라즈마를 발생시키는 고주파 전력의 인가를 정지하고 있다. 그 때문에 글라스 기판이 대형화해서, 직류 전압의 변화가 작아졌을 때에도, 글라스 기판의 탑재대로부터의 박리를 검출할 수 있다.

Подробнее
23-01-2024 дата публикации

포커스 링, 이를 포함하는 기판 처리 장치 및 이를 이용하는 반도체 소자의 제조 방법.

Номер: KR20240010381A
Автор: 고형식
Принадлежит:

... 본 발명에 따른 포커스 링은 제1 방향으로 연장되는 중심축을 갖는 포커스 링에 있어서, 상기 포커스 링의 상면은 상기 중심축으로부터 제1 내경을 가지고, 상기 포커스 링의 하면은 상기 중심축으로부터 제2 내경을 가지며, 상기 제1 내경은 상기 제2 내경보다 작으며, 상기 포커스 링의 내측면은 상기 상면 및 상기 하면 사이에 위치하며, 제1 내측면 및 제2 내측면을 포함하고, 상기 제2 내측면은 상기 제1 내측면으로부터 아래로 연장하되, 상기 제1 내측면이 상기 제1 방향과 이루는 각도는 상기 제2 내측면이 상기 제1 방향과 이루는 각도와 서로 다를 수 있다.

Подробнее
21-02-2017 дата публикации

aparelho de revestimento a vácuo

Номер: BR112014000223A2
Принадлежит:

Подробнее
16-03-2018 дата публикации

Thin film battery device and methods of formation

Номер: TW0201810767A
Принадлежит:

A thin film battery may include: a contact layer, the contact layer disposed in a first plane and comprising a cathode current collector and an anode current collector pad; a device stack disposed on the cathode current collector, the device stack comprising a cathode and solid state electrolyte; an anode current collector disposed on the device stack; a thin film encapsulant, the thin film encapsulant disposed over the device stack, wherein the solid state electrolyte encapsulates the cathode.

Подробнее
16-04-2019 дата публикации

Cooled focus ring for plasma processing apparatus

Номер: TW0201916092A
Принадлежит:

A pedestal assembly for use in a plasma processing apparatus for processing a substrate includes a baseplate. The pedestal assembly can further include a puck configured to support a substrate. The pedestal assembly can further include a focus ring arranged relative to the puck such that at least a portion of the focus ring at least partially surrounds a periphery of the substrate when the substrate is positioned on the puck. In addition, the focus ring can be spaced apart from the puck so that a gap is defined therebetween. The pedestal assembly can further include a thermally conductive member spaced apart from the puck. The thermally conductive member can be in thermal communication with the focus ring surrounded by the inner insulator ring and configured to be in thermal communication with the focus ring and the baseplate.

Подробнее
16-02-2012 дата публикации

Confined process volume PECVD chamber

Номер: TW0201207975A
Принадлежит:

An apparatus for plasma processing a substrate is provided. The apparatus comprises a processing chamber, a substrate support disposed in the processing chamber, a shield member disposed in the processing chamber below the substrate support, and a lid assembly coupled to the processing chamber. The lid assembly comprises a conductive gas distributor coupled to a power source, and an electrode separated from the conductive gas distributor and the chamber body by electrical insulators. The electrode is also coupled to a source of electric power. The substrate support is formed with a stiffness that permits very little departure from parallelism. The shield member thermally shields a substrate transfer opening in the lower portion of the chamber body. A pumping plenum is located below the substrate support processing position, and is spaced apart therefrom.

Подробнее
16-04-2014 дата публикации

Plasma-enhanced etching in an augmented plasma processing system

Номер: TW0201415560A
Принадлежит:

Methods for etching a substrate in a plasma processing chamber having at least a primary plasma generating region and a secondary plasma generating region separated from said primary plasma generating region by a semi-barrier structure. The method includes generating a primary plasma from a primary feed gas in the primary plasma generating region. The method also includes generating a secondary plasma from a secondary feed gas in the secondary plasma generating region to enable at least some species from the secondary plasma to migrate into the primary plasma generating region. The method additionally includes etching the substrate with the primary plasma after the primary plasma has been augmented with migrated species from the secondary plasma.

Подробнее
01-08-2015 дата публикации

Supporting member and substrate processing device

Номер: TW0201530649A
Принадлежит:

This invention intends to provide a supporting member that attends simultaneously to the thermal insulation and space conservation of pipes. The supporting member can support one or plural pipes that connect a temperature mechanism for adjusting the temperature of any composing element of a substrate processing device and the substrate processing device. The supporting member includes therein a hollow portion in which the afore-mentioned pipes are provided.

Подробнее
16-10-2017 дата публикации

Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device

Номер: TW0201737293A
Принадлежит:

The present disclosure generally relates to apparatuses and methods that control RF amplitude of an edge ring. The apparatuses and methods include an electrode that is coupled to ground through a variable capacitor. The electrode may be ring-shaped and embedded in a substrate support including an electrostatic chuck. The electrode may be positioned beneath the perimeter of a substrate and/or the edge ring. As the plasma sheath drops adjacent the edge ring due to edge ring erosion, the capacitance of the variable capacitor is adjusted in order to affect the RF amplitude near the edge of the substrate. Adjustment of the RF amplitude via the electrode and variable capacitor results in adjustment of the plasma sheath near the substrate perimeter.

Подробнее
16-01-2019 дата публикации

Etching method

Номер: TW0201903518A
Принадлежит:

A method includes mounting a wafer on a chuck disposed within a chamber of an etching system, the wafer being encircled by a focus ring. While etching portions of the wafer, an etch direction is adjusted to a first desired etch direction by adjusting a vertical position of the focus ring relative to the wafer to a first desired vertical position. While etching portions of the wafer, the etch direction is adjusted to a second desired etch direction by adjusting the vertical position of the focus ring relative to the wafer to a second desired vertical position. The second desired vertical position is different from the first desired vertical position. The second desired etch direction is different from the first desired etch direction.

Подробнее
16-08-2019 дата публикации

Apparatus for processing substrate

Номер: TW0201933541A
Принадлежит:

The present invention relates to a substrate processing apparatus includes: a supporting part supporting a substrate; a first electrode unit disposed on the supporting part; a second electrode unit disposed on the first electrode unit; a generating hole provided to pass through the first electrode unit; and a protrusion electrode coupled to the second electrode unit to protrude from the second electrode unit to a lower portion at a position corresponding to the generating hole, wherein the protrusion electrode is provided to have a length which is shorter than the first electrode unit with respect to a vertical direction.

Подробнее
01-06-2021 дата публикации

Plasma processing device capable of increasing the capacitance between the focus ring and the base and adjusting the capacitance between the focus ring and the base

Номер: TW202121472A
Принадлежит:

The present invention discloses a plasma processing device. Under the condition that the relative area and relative distance between a focus ring and a base and materials of the focus and the base are fixed, the capacitance between the focus ring and the base can be increased by arranging a conductive material insertion ring between the focus ring and the base to achieve the purpose of increasing the voltage on the focus ring. By adjusting the capacitance between the focus ring and the conductive material insertion ring through a first capacitance adjusting layer or by adjusting the capacitance between the conductive material insertion ring and the base through the first capacitance adjusting layer, the capacitance between the focus ring and the base can be adjusted to achieve the purpose of adjusting the voltage on the focus ring. By increasing the voltage on the focusing ring, and by optimizing the adjustment range of the capacitance by the first capacitor adjustment layer to achieve ...

Подробнее
16-01-2020 дата публикации

Plasma treatment apparatus

Номер: TW0202004831A
Принадлежит:

The present invention provides a technology with which it is possible to reduce treatment variation in the in-plane direction of a sample and to improve yield of the treatment. This plasma treatment apparatus 1 is provided with: a first electrode (base material 110B) disposed within a sample stage 110; a ring-shaped second electrode (conductor ring 114) disposed so as to surround the outer peripheral side of an upper face portion 310 (dielectric film portion 110A) of the sample stage 110; a ring-shaped member (susceptor ring 113) which is made of a dielectric material and which is disposed so as to cover the second electrode and to surround the outer periphery of the upper face portion 310; a plurality of power-feeding paths for supplying high-frequency power from a high-frequency power source to the first and second electrodes; and a matching device 117 disposed on the power-feeding path to the second electrode. In addition, a grounding location and a first location (A1), which is between ...

Подробнее
01-04-2021 дата публикации

Ceramic structure, manufacturing method thereof, and plasma processing apparatus

Номер: TW202113910A
Принадлежит:

The present invention provides a ceramic structure, a manufacturing method thereof, and a plasma processing apparatus. The ceramic structure includes a first conductive structure embedded therein and a second conductive structure embedded at a different depth from the first conductive structure, wherein the first conductive structure and the second conductive structure are electrically connected to each other by an electrically conductive connection member capable of compensating for a vertical shrinkage rate of a ceramic sheet shape while being embedded therein when sintering the ceramic structure.

Подробнее
30-01-2019 дата публикации

PLASMA PROCESSING APPARATUS, ELECTROSTATIC ATTRACTION METHOD, AND ELECTROSTATIC ATTRACTION PROGRAM

Номер: SG10201804649VA
Принадлежит:

PLASMAPROCESSING APPARATUS, ELECTROSTATIC ATTRACTION METHOD, AND ELECTROSTATIC ATTRACTION PROGRAM Disclosed is a plasma processing apparatus including: a placing table including a focus ring placed thereon and an electrode provided therein so as to face the focus ring; and a voltage application unit that applies, to the electrode, voltages having different polarities in cycles or a voltage having a large absolute value in steps, during a plasma processing period. Figure ...

Подробнее
27-11-2020 дата публикации

SUBSTRATE PROCESSING APPARATUS

Номер: SG10201909553YA
Принадлежит:

Подробнее
28-05-2020 дата публикации

SENSOR AND ADJUSTER FOR A CONSUMABLE

Номер: SG10202004091TA
Принадлежит:

Подробнее
29-04-2021 дата публикации

ELECTROSTATIC ATTRACTION METHOD AND PLASMA PROCESSING APPARATUS

Номер: SG10202008858YA
Принадлежит:

Подробнее
01-01-2017 дата публикации

Номер: TWI564266B
Автор:
Принадлежит: NGK INSULATORS LTD, NGK INSULATORS, LTD.

Подробнее
06-08-2019 дата публикации

System for tunable workpiece biasing in a plasma reactor

Номер: US0010373804B2

Systems and methods for tunable workpiece biasing in a plasma reactor are provided herein. In some embodiments, a system includes: a plasma chamber that performs plasma processing on a workpiece, a first pulsed voltage source, coupled directly to a workpiece, a second pulsed voltage source, coupled capacitively to the workpiece, and a biasing controller comprising one or more processors, and memory, wherein the memory comprises a set of computer instructions that when executed by the one or more processors, independently controls the first pulsed voltage source and the second pulsed voltage source based on one or more parameters of the first pulsed voltage source and the second pulsed voltage source in order to tailor ion energy distribution of the flux of ions directed to the workpiece.

Подробнее
07-07-2020 дата публикации

Plasma etching method and plasma etching apparatus

Номер: US0010707091B2
Принадлежит: Tokyo Electron Limited, TOKYO ELECTRON LTD

A plasma etching method for plasma-etching an object including an etching target film and a patterned mask. The plasma etching method includes a first step of plasma-etching the etching target film using the mask, and a second step of depositing a silicon-containing film using plasma of a silicon-containing gas on at least a part of a side wall of the etching target film etched by the first step.

Подробнее
25-03-2021 дата публикации

APPARATUS FOR FABRICATING A SEMICONDUCTOR STRUCTURE AND METHOD OF FABRICATING A SEMICONDUCTOR STRUCTURE

Номер: US20210090935A1
Принадлежит:

The present disclosure provides an apparatus for fabricating a semiconductor structure, including a chuck, an edge ring surrounding the chuck, wherein the edge ring comprises a cavity, a focus ring adjacent to an edge of the chuck and over the edge ring, and a first actuator in the cavity of the edge ring and engaging with the focus ring.

Подробнее
12-06-2018 дата публикации

Etching method

Номер: US0009997374B2
Принадлежит: Tokyo Electron Limited, TOKYO ELECTRON LTD

An etching method performed by an etching apparatus includes a first process of causing a first high-frequency power supply to output a first high-frequency power with a first frequency and causing a second high-frequency power supply to output a second high-frequency power with a second frequency lower than the first frequency in a cryogenic environment where the temperature of a wafer is −35° C. or lower, to generate plasma from a hydrogen-containing gas and a fluorine-containing gas and to etch, with the plasma, a multi-layer film of silicon dioxide and silicon nitride and a single-layer film of silicon dioxide that are formed on the wafer; and a second process of stopping the output of the second high-frequency power supply. The first process and the second process are repeated multiple times, and the first process is shorter in time than the second process.

Подробнее
01-02-2022 дата публикации

Methods and systems to enhance process uniformity

Номер: US0011239061B2
Принадлежит: Applied Materials, Inc.

A semiconductor processing chamber may include a remote plasma region, and a processing region fluidly coupled with the remote plasma region. The processing region may be configured to house a substrate on a support pedestal. The support pedestal may include a first material at an interior region of the pedestal. The support pedestal may also include an annular member coupled with a distal portion of the pedestal or at an exterior region of the pedestal. The annular member may include a second material different from the first material.

Подробнее
21-07-2016 дата публикации

MOVEABLE EDGE COUPLING RING FOR EDGE PROCESS CONTROL DURING SEMICONDUCTOR WAFER PROCESSING

Номер: US20160211166A1
Принадлежит:

A substrate processing system includes a processing chamber and a pedestal arranged in the processing chamber. An edge coupling ring is arranged adjacent to a radially outer edge of the pedestal. A first actuator is configured to selectively move the edge coupling ring to a raised position, relative to the pedestal to provide clearance between the edge coupling ring and the pedestal to allow a robot arm to remove the edge coupling ring from the processing chamber.

Подробнее
14-07-2016 дата публикации

COOLING STRUCTURE AND PARALLEL PLATE ETCHING APPARATUS

Номер: US20160203955A1
Принадлежит:

A cooling structure is provided that, includes a cooling target member, a cooling plate including a cooling mechanism and being configured to cool the cooling target member, and a clamp configured to hold the cooling target member to the cooling plate at an outer periphery of the cooling plate. The cooling plate includes a surface facing the cooling target member that is arranged into a spherical shape having a center portion that bulges toward the cooling target member with respect to a peripheral edge portion. The cooling target member includes a surface facing the cooling plate to which at least a predetermined pressure is applied.

Подробнее
18-08-2016 дата публикации

SEMICONDUCTOR MANUFACTURING APPARATUS

Номер: US20160237569A1
Принадлежит: KABUSHIKI KAISHA TOSHIBA

A semiconductor manufacturing apparatus according to an embodiment includes a heater, a sidewall, and a moving mechanism. The heater is capable of heating a semiconductor substrate. The sidewall is located at an outer edge of the heater and protrudes upward from a mount face of the heater on which the semiconductor substrate is mounted. The moving mechanism relatively moves at least a part of the sidewall and the heater in a substantially perpendicular direction with respect to the mount face.

Подробнее
24-11-2020 дата публикации

Moving focus ring for plasma etcher

Номер: US0010847349B2

A semiconductor manufacturing method and semiconductor manufacturing tool for performing the same are disclosed. The semiconductor manufacturing tool includes a plasma chamber, a mounting platform disposed within the plasma chamber, a focus ring disposed within the plasma chamber, and at least one actuator mechanically coupled to the focus ring and configured to move the focus ring vertically. The actuator is configured to move the focus ring vertically when a plasma is present in the plasma chamber.

Подробнее
11-12-2018 дата публикации

Plasma etching apparatus

Номер: US0010153135B2

An ICP plasma etching apparatus for etching a substrate includes at least one chamber, a substrate support positioned within the chamber, a plasma production device for producing a plasma for use in etching the substrate, and a protective structure which surrounds the substrate support so that, in use, a peripheral portion of the substrate is protected from unwanted deposition of material. The protective structure is arranged to be electrically biased and is formed from a metallic material so that metallic material can be sputtered from the protective structure onto an interior surface of the chamber to adhere particulate material to the interior surface.

Подробнее
01-09-2020 дата публикации

Planetary gear assembly for sputtering multiple balloon catheter distal ends

Номер: US0010758716B2
Принадлежит: BIOSENSE WEBSTER (ISRAEL) LTD.

An apparatus includes an assembly and hollow templates. The assembly includes multiple hinges mounted thereon. The assembly is configured to rotate about a first axis, and each of the hinges is additionally configured to rotate about a respective second axis. The hollow templates are fitted on the respective hinges and are each configured to contain a balloon-based distal end of a medical instrument, each template having a patterned opening through which one or more electrodes are deposited on the distal end.

Подробнее
09-01-2018 дата публикации

Apparatus and method for tuning a plasma profile using a tuning electrode in a processing chamber

Номер: US0009865431B2

Embodiments of the present invention relate to apparatus for enhancing deposition rate and improving a plasma profile during plasma processing of a substrate. According to embodiments, the apparatus includes a tuning electrode disposed in a substrate support pedestal and electrically coupled to a variable capacitor. The capacitance is controlled to control the RF and resulting plasma coupling to the tuning electrode. The plasma profile and the resulting deposition rate and deposited film thickness across the substrate are correspondingly controlled by adjusting the capacitance and impedance at the tuning electrode.

Подробнее
05-02-2019 дата публикации

Position detecting system and processing apparatus

Номер: US0010199251B2
Принадлежит: TOKYO ELECTRON LIMITED, TOKYO ELECTRON LTD

A position detecting system has a transport device, a light source, at least one optical element, a reflective member, a drive unit, and a controller. The transport device transports and places an object on a placement table. The light source generates measurement light. The optical element projects the measurement light, as projection light, generated by the light source and receives reflected light. The reflective member is disposed on the transport device. The reflective member reflects the projection light toward the placement table, and reflects the reflected light of the projection light, which is projected toward the placement table, toward the optical element. The drive unit operates the transport device so that the reflective member scans a plurality of linear scanning ranges. The controller calculates positional relationship between the focus ring and the object placed on the placement table based on the reflected light within the plurality of linear scanning ranges.

Подробнее
21-01-2020 дата публикации

Systems and methods for tilting a wafer for achieving deposition uniformity

Номер: US0010541117B2
Принадлежит: Lam Research Corporation, LAM RES CORP

Heights of carrier ring supports are increased at a side of a wafer that is located closer to a spindle of a plasma chamber. The heights are increased relative to a height of a carrier ring support that is located closer to side walls of the plasma chamber. The increase in the height results in an increase in thickness of a thin film deposited on the wafer to further achieve uniformity in thickness of the thin film across a top surface of the wafer.

Подробнее
29-08-2019 дата публикации

DC Bias in Plasma Process

Номер: US20190267211A1
Принадлежит:

Embodiments described herein relate to plasma processes. A tool includes a pedestal. The pedestal is configured to support a semiconductor substrate. The tool includes a bias source. The bias source is electrically coupled to the pedestal. The bias source is operable to bias the pedestal with a direct current (DC) voltage. The tool includes a plasma generator. The plasma generator is operable to generate a plasma remote from the pedestal. A method for semiconductor processing includes performing a plasma process on a substrate in a tool. The plasma process includes flowing a gas into the tool. The plasma process includes biasing a pedestal that supports the substrate in the tool. The plasma process includes igniting a plasma in the tool using the gas.

Подробнее
19-11-2020 дата публикации

FOCUS RING ADJUSTMENT ASSEMBLY OF A SYSTEM FOR PROCESSING WORKPIECES UNDER VACUUM

Номер: US20200365405A1
Принадлежит:

A focus ring adjustment assembly of a system for processing workpieces under vacuum, where the focus ring may include a lower side having a first surface portion and a second surface portion, the first surface portion being vertically above the second surface portion. The adjustment assembly may include a pin configured to selectively contact the first surface portion of the focus ring, and an actuator operable to move the pin along the vertical direction between an extended position and a retracted position. The extended position of the pin may be associated with the distal end of the pin contacting the first surface of the focus ring and the focus ring being accessible for removal by a workpiece handling robot from the vacuum process chamber.

Подробнее
05-12-2019 дата публикации

ION BEAM ETCHING CHAMBER

Номер: US20190371574A1
Принадлежит:

In some embodiments, the present disclosure relates to an ion beam etching apparatus. The ion beam etching apparatus includes a substrate holder disposed within a processing chamber and a plasma source in communication with the processing chamber. A vacuum pump is coupled to the processing chamber by way of an inlet. One or more baffles are arranged between the substrate holder and a lower surface of the processing chamber. A byproduct redistributor is configured to move a byproduct from an etching process from outside of the one or more baffles to directly below the one or more baffles.

Подробнее
03-03-2016 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20160064188A1
Принадлежит:

A plasma processing apparatus that performs plasma processing to a substrate held on a transport carrier including a frame and a holding sheet that covers an opening of the frame includes: a transport mechanism that transports the transport carrier; a position measuring section that measures a position of the substrate to the frame; a plasma processing section that includes a plasma processing stage on which the transport carrier is loaded and a cover that covers the frame and a part of the holding sheet loaded on the plasma processing stage, and has a window section for exposing a part of the substrate; and a control section that controls the transport mechanism such that the transport carrier is loaded on the plasma processing stage to satisfy a positional relationship between the window section and the substrate based on the position information of the substrate to the frame.

Подробнее
11-02-2021 дата публикации

PLACING TABLE AND SUBSTRATE PROCESSING APPARATUS

Номер: US20210043433A1
Принадлежит:

A placing table includes a first surface located at an outer side than a substrate; and a second surface on which the substrate is placed. A first path is formed to correspond to the first surface.

Подробнее
12-11-2020 дата публикации

SUBSTRATE TREATMENT APPARATUS

Номер: US20200357613A1
Принадлежит:

The present inventive concept relates to a substrate treatment apparatus comprising: a support part for supporting a substrate; a first electrode part disposed above the support part; a second electrode part disposed above the first electrode part; a generation hole formed to extend through the first electrode part; and a protruding electrode coupled to the second electrode part while protruding downward from the second electrode part at a position corresponding to the generation hole, wherein the protruding electrode is formed to have a shorter length than the first electrode part in the vertical direction.

Подробнее
09-04-2019 дата публикации

Substrate processing apparatus and methods

Номер: US0010256076B2

Methods of etching include cycles of low temperature etching of a material layer disposed on a substrate, with at least one of the cycles being followed by activation of unreacted etchant deposits during an inert gas plasma treatment. In some embodiments, a method includes: positioning a substrate in a processing chamber; generating, in a first etching cycle, a plasma from a gas mixture within the processing chamber to form a processing gas including an etchant; exposing, to the etchant, a portion of a material layer disposed on a substrate maintained at a first temperature; generating an inert gas plasma within the processing chamber; generating, in a second etching cycle, a plasma from a gas mixture within the processing chamber to form a processing gas including an etchant; and heating the substrate to a second temperature to sublimate a byproduct of reaction between the etchant and the material layer.

Подробнее
27-12-2016 дата публикации

Method and apparatus for ESC charge control for wafer clamping

Номер: US9530626B2
Принадлежит: TOKYO ELECTRON LTD, TOKYO ELECTRON LIMITED

A plasma processing method and apparatus are provided in which current spikes associated with application of a voltage to an electrostatic chuck (ESC) are minimized or reduced when the processing plasma is present. According to an example, the voltage is applied to the ESC after the processing plasma is struck, however the voltage is ramped or increased in a step-wise manner to achieve the desired final ESC voltage. In an alternate embodiment, the ESC voltage is at least partially applied before striking of the plasma for processing the wafer. By reducing current spikes associated with application of the voltage to the ESC during the presence of the processing plasma, transfer or deposition of particles on the wafer can be reduced.

Подробнее
27-10-2022 дата публикации

A PROCESS AND APPARATUS FOR THE PREPARATION OF A BONDED SUBSTRATE

Номер: US20220340416A1
Принадлежит:

The present invention relates to a process and apparatus for the preparation of a bonded substrate. More particularly, the present invention relates to a PDMS bonding apparatus. More specifically, the present invention relates to a PDMS bonding apparatus which uses plasma to bond PDMS to a substrate. The present invention discloses a PDMS bonding apparatus and process for using said apparatus, the apparatus comprising: a process chamber (100) forming a sealed processing space (S) for bonding of PDMS (polydimethylsiloxane); a first support (200) installed in the process chamber (100) and which supports the PDMS (1); a second support (300) installed in the process chamber (100) opposing the first support (200) and which supports a bonding object (2) which is bonded to the PDMS (1); a gas injection unit (400) which ejects process gas between the first support (200) and the second support (300), and; a plasma generator (500) which creates a plasma atmosphere within the process chamber (100) ...

Подробнее
26-12-2023 дата публикации

Plasma processing apparatus and plasma processing method

Номер: US0011854772B2
Автор: Masaki Hirayama
Принадлежит: TOKYO ELECTRON LIMITED, TOHOKU UNIVERSITY

A plasma processing apparatus according to an exemplary embodiment includes a processing container, a stage, a dielectric plate, an upper electrode, an introduction part, a driving shaft, and an actuator. The stage is provided in the processing container. The dielectric plate is provided above the stage via a space in the processing container. The upper electrode has flexibility, is provided above the dielectric plate, and provides a gap between the dielectric plate and the upper electrode. The introduction part is an introduction part of radio frequency waves that are VHF waves or UHF waves, is provided at a horizontal end portion of the space. The driving shaft is coupled to the upper electrode on a central axial line of the processing container. The actuator is configured to move the driving shaft in a vertical direction.

Подробнее
28-12-2023 дата публикации

SUBSTRATE PROCESSING METHOD AND SUBSTRATE PROCESSING APPARATUS

Номер: US20230420294A1
Принадлежит:

A substrate processing method of processing a substrate having a base film includes a loading process of loading the substrate into a processing container, a first process of performing a first plasma process in a state where the loaded substrate is held at a first position by raising substrate support pins of a stage arranged in the processing container, and a second process of performing a second plasma process while holding the substrate at a second position by lowering the substrate support pins.

Подробнее
09-11-2023 дата публикации

HIGH-CONDUCTANCE VACUUM VALVES FOR WAFER PROCESSING SYSTEMS

Номер: US20230360894A1
Автор: Gabriel Pioux, Allan Ronne
Принадлежит:

A semiconductor processing chamber performs various wafer processing operations that involve at least one of pumping the chamber to high vacuum states and regulating a vacuum (e.g., during introduction of process gases, as gas infiltrates the chamber, as reactions emit gases, as a wafer off-gases, etc.). A vacuum valve may be fluidically coupled between a vacuum pumping system and at least a portion of the semiconductor processing chamber. The vacuum valve may be a high-conductance multi-stage poppet valve enabling a relatively high gas flow rate and/or low pressure drop. In an open state, the multi-stage design of the poppet valve may have larger cross-sectional openings, in aggregate, than a comparable single-stage poppet valve could achieve, thereby increasing conductance.

Подробнее
18-04-2024 дата публикации

CONSUMABLE MEMBER, PLASMA PROCESSING APPARATUS, AND METHOD OF MANUFACTURING CONSUMABLE MEMBER

Номер: US20240128057A1
Принадлежит: Tokyo Electron Limited

A consumable member includes a core portion formed of the material having a first purity; and a protection portion provided at a portion worn out by plasma in the plasma processing apparatus around the core portion, and formed of the material having a second purity higher than the first purity. The material may be either quartz or ceramic.

Подробнее
09-05-2024 дата публикации

SUBSTRATE SUPPORTING UNIT, APPARATUS FOR TREATING SUBSTRATE INCLUDING THE SAME, AND RING TRANSFER METHOD

Номер: US20240153747A1
Автор: Jae-Won SHIN
Принадлежит: SEMES CO., LTD.

The inventive concept provides a substrate treating apparatus. The substrate treating apparatus includes a process chamber having a treating space therein; a substrate support unit configured to support a substrate in the treating space; a gas supply unit configured to supply a process gas to the treating space; and a plasma source for generating a plasma from the process gas, and wherein the substrate support unit includes: a support plate on which the substrate is placed; a base which is positioned below the support plate; a first ring provided to surround the substrate placed on the support unit; a second ring provided below the first ring and having a through hole; and a ring lift pin assembly for lifting and lowering the first ring and the second ring, and wherein the ring lift pin assembly includes: a first pin provided to be inserted into the through hole and to lift and lower the first ring; a second pin in a hollow shaft shape to lift and lower the second ring and having a hole ...

Подробнее
25-06-2024 дата публикации

Flim forming method of carbon-containing film by microwave plasma

Номер: US0012018375B2

There is provided a film forming method of forming a carbon-containing film by a microwave plasma from a microwave source, the film forming method including: a dummy step of performing a dummy process by generating plasma of a first carbon-containing gas within a processing container; a placement step of placing a substrate on a stage within the processing container; and a film forming step of forming the carbon-containing film on the substrate using plasma of a second carbon-containing gas.

Подробнее
26-04-2012 дата публикации

Substrate support with symmetrical feed structure

Номер: US20120097332A1
Принадлежит: Applied Materials Inc

Apparatus for processing a substrate is disclosed herein. In some embodiments, a substrate support may include a substrate support having a support surface for supporting a substrate the substrate support having a central axis; a first electrode disposed within the substrate support to provide RF power to a substrate when disposed on the support surface; an inner conductor coupled to the first electrode about a center of a surface of the first electrode opposing the support surface, wherein the inner conductor is tubular and extends from the first electrode parallel to and about the central axis in a direction away from the support surface of the substrate support; an outer conductor disposed about the inner conductor; and an outer dielectric layer disposed between the inner and outer conductors, the outer dielectric layer electrically isolating the outer conductor from the inner conductor. The outer conductor may be coupled to electrical ground.

Подробнее
10-05-2012 дата публикации

Cooled pvd shield

Номер: US20120111273A1
Принадлежит: Individual

The present invention generally comprises a top shield for shielding a shadow frame within a PVD chamber. The top shield may remain in a stationary position and at least partially shield the shadow frame to reduce the amount of material that may deposit on the shadow frame during processing. The top shield may be cooled to reduce the amount of fluxuation in temperature of the top shield and shadow frame during processing and/or during down time.

Подробнее
02-08-2012 дата публикации

Substrate cooling device, sputtering apparatus and method for manufacturing electronic device

Номер: US20120193216A1
Принадлежит: Canon Anelva Corp

A substrate cooling device includes: a substrate holding stage including a recess defining a space between a substrate mounting unit and a substrate mounted on the substrate mounting unit; a holding member that exerts a pressing force against the substrate holding stage so as to fix the substrate to the substrate holding stage; a refrigerator connected to the substrate holding stage; a coolant gas inlet path including a coolant gas inlet opening that is provided at the substrate holding stage and opens to a recessed face of the recess, the coolant gas inlet path connecting a space in the recess via the coolant gas inlet opening to a coolant gas supply; and a coolant gas outlet path including a coolant gas outlet opening that is provided at the substrate holding stage independently of the coolant gas inlet opening and opens to the recessed face of the recess.

Подробнее
04-10-2012 дата публикации

Substrate processing apparatus, method for manufacturing semiconductor device, method for processing substrates

Номер: US20120252220A1
Принадлежит: HITACHI KOKUSAI ELECTRIC INC

A substrate supporting member provided in a processing chamber for processing the substrate and configured to support the substrate, has on its upper surface, a protruding area that supports an edge side of the substrate from below; a recessed area provided inside of the protruding area so as not to be brought into contact with the substrate supported by the protruding area; and an auxiliary protruding area formed lower than the protruding area and provided in the recessed area, and has a flow passage that is communicated with inside of the recessed area, for escaping gas between the substrate and the substrate supporting member from the recessed area side.

Подробнее
28-02-2013 дата публикации

Electrostatic chuck and semiconductor/liquid crystal manufacturing equipment

Номер: US20130048217A1
Автор: Norio Shiraiwa
Принадлежит: Shinko Electric Industries Co Ltd

An electrostatic chuck includes, a chuck function portion including a plurality of chuck regions on which an attractable object is placed respectively, and a concave surface portion provided in an outer region of the chuck regions, and electrodes arranged in an inner part of the chuck function portion corresponding to the chuck regions and an inner part of the chuck function portion corresponding to the concave surface portion, respectively.

Подробнее
21-03-2013 дата публикации

Component of a substrate support assembly producing localized magnetic fields

Номер: US20130072025A1
Принадлежит: Lam Research Corp

A component of a substrate support assembly such as a substrate support or edge ring includes a plurality of current loops incorporated in the substrate support and/or the edge ring. The current loops are laterally spaced apart and extend less than halfway around the substrate support or edge ring with each of the current loops being operable to induce a localized DC magnetic field of field strength less than 20 Gauss above a substrate supported on the substrate support during plasma processing of the substrate. When supplied with DC power, the current loops generate localized DC magnetic fields over the semiconductor substrate so as to locally affect the plasma and compensate for non-uniformity in plasma processing across the substrate.

Подробнее
02-05-2013 дата публикации

Electrostatic chuck

Номер: US20130107415A1
Принадлежит: Applied Materials Inc

Embodiments of electrostatic chucks are provided herein. In some embodiments, an electrostatic chuck for supporting and retaining a substrate having a given width may include a dielectric member having a support surface configured to support a substrate having a given width; an electrode disposed within the dielectric member beneath the support surface and extending from a center of the dielectric member outward to an area beyond an outer periphery of the substrate as defined by the given width of the substrate; an RF power source coupled to the electrode; and a DC power source coupled to the electrode.

Подробнее
23-05-2013 дата публикации

Peripheral rf feed and symmetric rf return with rf strap input

Номер: US20130127124A1
Принадлежит: Lam Research Corp

Systems and methods are presented for a peripheral RF feed and symmetric RF return for symmetric RF delivery. According to one embodiment, a chuck assembly for plasma processing is provided. The chuck assembly includes an electrostatic chuck having a substrate support surface on a first side, a facility plate coupled to the electrostatic chuck on a second side that is opposite the substrate support surface, a peripheral RF feed configured to deliver RF power, the peripheral RF feed having a first portion contacting a periphery of the facility plate and an RF strap coupling the peripheral RF feed to an RF source.

Подробнее
23-05-2013 дата публикации

Film deposition method and film deposition apparatus

Номер: US20130130512A1
Принадлежит: Individual

A film deposition method including: a step of carrying a substrate into a vacuum chamber, and placing the substrate on a turntable; a step of rotating the turntable; and an adsorption-formation-irradiation step of supplying a first reaction gas to the substrate from a first reaction gas supply part to adsorb the first reaction gas on the substrate; supplying a second reaction gas from a second reaction gas supply part so that the first reaction gas adsorbed on the substrate reacts with the second reaction gas so as to form a reaction product on the substrate; and supplying a hydrogen containing gas to a plasma generation part that is separated from the first reaction gas supply part and the second reaction gas supply part in a circumferential direction of the turntable so as to generate plasma above the turntable and to irradiate the plasma to the reaction product.

Подробнее
20-06-2013 дата публикации

Process kit components for use with an extended and independent rf powered cathode substrate for extreme edge tunability

Номер: US20130154175A1
Принадлежит: Applied Materials Inc

Process kit components for use with a substrate support of a process chamber are provided herein. In some embodiments, a process kit ring may include a ring shaped body having an outer edge, an inner edge, a top surface and a bottom, wherein the outer edge has a diameter of about 12.473 inches to about 12.479 inches and the inner edge has a diameter of about 11.726 inches to about 11.728 inches, and wherein the ring shaped body has a height of about 0.116 to about 0.118 inches; and a plurality of protrusions disposed on the top surface of the ring shaped body, each of the plurality of protrusions disposed symmetrically about the ring shaped body.

Подробнее
20-06-2013 дата публикации

Extended and independent rf powered cathode substrate for extreme edge tunability

Номер: US20130155568A1
Принадлежит: Applied Materials Inc

Apparatus for processing substrates are provided herein. In some embodiments, an apparatus for processing a substrate may include a substrate support comprising a first electrode disposed within the substrate support and having a peripheral edge and a first surface; a substrate support surface disposed above the first surface of the first electrode; and a second electrode disposed within the substrate support and extending radially beyond the peripheral edge of the first electrode, wherein the second electrode has a second surface disposed about and above the first surface of the first electrode.

Подробнее
26-09-2013 дата публикации

Deposition apparatus

Номер: US20130247822A1
Принадлежит: ASM IP Holding BV

In a deposition apparatus, a protecting member made of an elastic body is inserted into a pin hole where a fixed substrate supporting pin is inserted and the substrate supporting pin is fixed through the protecting member to prevent damages to the substrate and a decrease in yield due to damages to the substrate supporting pin by preventing the substrate supporting pin from being damaged by loading or unloading of the substrate or static electricity. Further, the deposition apparatus includes a substrate supporting pin guide member capable of preventing misalignment of an unfixed substrate supporting pin to prevent damages to the substrate and a decrease in the yield due to damages to the substrate supporting pin by preventing the substrate supporting pin from being damaged by loading or unloading of the substrate or static electricity.

Подробнее
31-10-2013 дата публикации

Apparatus and method for depositing a layer onto a substrate

Номер: US20130288477A1
Принадлежит: OC OERLIKON BALZERS AG

Apparatus ( 1, 26 ) for depositing a layer ( 37, 38, 39 ) on a substrate ( 2 ) in a process gas comprises a chuck ( 3 ) comprising a first surface ( 4 ) for supporting the substrate ( 2 ), a clamp ( 4 ) for securing the substrate ( 2 ) to the first surface ( 14 ) of the chuck ( 3 ), an evacuatable enclosure ( 5 ) enclosing the chuck ( 3 ) and the clamp ( 4 ) and comprising an inlet, through which the processing gas is insertable into the enclosure ( 5 ), and control apparatus ( 19 ). The control apparatus ( 19 ) is adapted to move at least one of the chuck ( 3 ) and the clamp ( 4 ) relative to, and independently of, one another to adjust a spacing between the chuck ( 3 ) and the clamp ( 4 ) during a single deposition process whilst maintaining a flow of the processing gas and a pressure within the enclosure ( 5 ) that is less than atmospheric pressure.

Подробнее
05-12-2013 дата публикации

Magnetron sputtering system

Номер: US20130319855A1
Автор: Jinlei Li

A magnetron sputtering system is disclosed in the present invention. A chamber includes a target holder, a substrate holder and a magnetic-field generating component. The magnetic-field generating component is configured to generate a magnetic field in a surrounding area of a substrate to be sputtered and deposited. The present invention can avoid the charged molecules and the cathode ions generated by the target hitting the to-be-sputtered/deposited substrate with higher energy. Therefore, it can avoid the damage of the to-be-sputtered/deposited substrate and decrease the stress of depositing the thin film on the substrate, as so to increase the yield of the products.

Подробнее
26-12-2013 дата публикации

ADJUSTABLE GAP CAPACITIVELY COUPLED RF PLASMA REACTOR INCLUDING LATERAL BELLOWS AND NON-CONTACT PARTICLE SEAL

Номер: US20130340938A1
Принадлежит: LAM RESEARCH CORPORATION

A plasma processing chamber includes a cantilever assembly and at least one vacuum isolation member configured to neutralize atmospheric load. The chamber includes a wall surrounding an interior region and having an opening formed therein. A cantilever assembly includes a substrate support for supporting a substrate within the chamber. The cantilever assembly extends through the opening such that a portion is located outside the chamber. The chamber includes an actuation mechanism operative to move the cantilever assembly relative to the wall. 1. (canceled)2. (canceled)3. (canceled)4. (canceled)5. (canceled)6. (canceled)7. (canceled)8. (canceled)9. (canceled)10. (canceled)11. (canceled)12. (canceled)13. (canceled)14. (canceled)15. (canceled)16. (canceled)17. (canceled)18. A lateral bellows unit for a cantilever assembly of a plasma processing chamber for processing a substrate wherein a sidewall separates the interior of the chamber into first and second regions in fluid communication via an opening in the sidewall , the bellows unit comprising:a fixed annular plate which mounts on the sidewall of the chamber with an opening in the annular plate surrounding the opening in the sidewall;a movable plate which attaches to an end of the arm unit outside the first and second regions, the arm unit configured to extend horizontally through the opening in the sidewall such that a first end is in the first region and a second end is in the second region defined by the bellows, a substrate support being located on an upper portion of the first end;a bellows extending between the fixed annular plate and the movable plate, the fixed plate, wherein (a) an upper portion of the bellows is compressed and a lower portion of the bellows is expanded when the arm unit is moved to an uppermost position and (b) an upper portion of the bellows is expanded and a lower portion of the bellows is compressed when the arm unit is moved to a lowermost position.19. The lateral bellows unit of ...

Подробнее
16-01-2014 дата публикации

Processing apparatus

Номер: US20140014269A1
Принадлежит: Canon Anelva Corp

A processing apparatus includes a substrate supporting unit that supports a substrate in a processing space in which the substrate is processed, a first partitioning member that includes a ceiling portion having an opening and partitions the processing space from an outer space, and a second partitioning member that is attached to the first partitioning member so as to close the opening and partition the processing space from the outer space together with the first partitioning member. The second partitioning member is attached to the first partitioning member so that the second partitioning member is removable from the first partitioning member by moving the second partitioning member toward a space which a lower surface of the ceiling portion faces.

Подробнее
16-01-2014 дата публикации

Plasma etching apparatus and plasma etching method

Номер: US20140017900A1
Принадлежит: Tokyo Electron Ltd

A plasma etching apparatus performs plasma etching on a substrate having a resist pattern formed thereon and an outer edge portion where the substrate surface is exposed. The plasma etching apparatus includes a support part that supports the substrate, a cover member that covers the outer edge portion of the substrate and prevents plasma from coming around the outer edge portion, and a control unit that generates plasma by controlling high frequency power application and supply of a processing gas for etching, and uses the generated plasma to etch the substrate that is supported by the support part and has the outer edge portion covered by the cover member. After etching the substrate, the control unit generates plasma by controlling high frequency power application and supply of a processing gas for ashing, and uses the generated plasma to perform ashing on the resist pattern on the etched substrate.

Подробнее
27-03-2014 дата публикации

Plasma processing apparatus and plasma processing method

Номер: US20140083977A1
Принадлежит: Toshiba Corp

In one embodiment, a plasma processing apparatus includes: a chamber; an introducing part; a counter electrode; a high-frequency power source; and a plurality of low-frequency power sources. A substrate electrode is disposed in the chamber, a substrate is directly or indirectly placed on the substrate electrode, and the substrate electrode has a plurality of electrode element groups. The introducing part introduces process gas into the chamber. The high-frequency power source outputs a high-frequency voltage for ionizing the process gas to generate plasma. The plurality of low-frequency power sources apply a plurality of low-frequency voltages of 20 MHz or less with mutually different phases for introducing ions from the plasma, to each of the plurality of electrode element groups.

Подробнее
03-01-2019 дата публикации

SPUTTER DEVICES AND METHODS

Номер: US20190003039A1
Принадлежит:

Sputter devices comprise a vacuum supply, a gas supply, a substrate holding device, and sputter sources. Each sputter source is held by an individual source support, each of which has an individual reference point allocated on a sputter surface facing the deposition area, and each of which has a source distance to a source reference surface from the individual reference point. The sputter sources are spaced apart from each other, are arranged as a two-dimensional array opposite the deposition area, and extend along the source reference surface. The source reference surface is parallel to the substrate reference surface. At least one of the sputter sources has a source distance deviating from zero. 1. A sputter device for sputtering deposition of a layer on a three-dimensionally shaped substrate surface of a substrate in a deposition area , the sputter device comprising in a deposition section of the sputter device:at least one vacuum supply for generation of a vacuum in the deposition section;a gas supply for introduction of process gas for the sputtering deposition in the deposition section;a substrate holding device for support of the substrate relative to a substrate reference surface of the substrate holding device; andsputter sources, each of which is held by an individual source support, each of which has an individual reference point allocated on a sputter surface facing the deposition area, and each of which has a source distance to a source reference surface from the individual reference point, wherein the sputter sources are spaced apart from each other, are arranged as a two-dimensional array opposite the deposition area, and extend along the source reference surface, wherein the source reference surface is parallel to the substrate reference surface, wherein at least one of the sputter sources has a source distance deviating from zero, and wherein the source distance is measured between the source reference surface and the individual reference point of ...

Подробнее
05-01-2017 дата публикации

PLASMA PROCESSING METHOD AND PLASMA PROCESSING APPARATUS

Номер: US20170004956A1
Принадлежит:

A plasma processing method according to an aspect includes: preparing a plasma processing apparatus including: a chamber; a lower electrode; an upper electrode; a focus ring surrounding a peripheral edge of the lower electrode; and an annular coil disposed on an upper portion of the upper electrode at a more outer position than the peripheral edge of the lower electrode; placing a substrate on the lower electrode, with a peripheral edge of the substrate surrounded by the focus ring; introducing process gas into the chamber; generating plasma of the process gas by applying high-frequency power across the upper electrode and the lower electrode; and leveling an interface of a plasma sheath on an upper portion of the substrate with that on an upper portion of the focus ring by generating a magnetic field by supplying a current to the annular coil. 1. A plasma processing method comprising: a chamber;', 'a lower electrode disposed in the chamber;', 'an upper electrode disposed in the chamber and facing the lower electrode;', 'a focus ring disposed in the chamber and surrounding a peripheral edge of the lower electrode; and', 'an annular coil disposed on an upper portion of the upper electrode at a more outer position than the peripheral edge of the lower electrode;, 'preparing a plasma processing apparatus, the plasma processing apparatus comprisingplacing a substrate on the lower electrode, with a peripheral edge of the substrate surrounded by the focus ring;introducing process gas into the chamber;applying high-frequency power across the upper electrode and the lower electrode to generate plasma of the process gas; andgenerating a magnetic field by supplying a current to the annular coil to level an interface of a plasma sheath on an upper portion of the substrate with the interface of the plasma sheath on an upper portion of the focus ring.2. The plasma processing method according to claim 1 ,wherein the leveling of the interface of the plasma sheath reduces the ...

Подробнее
05-01-2017 дата публикации

PIXELATED CAPACITANCE CONTROLLED ESC

Номер: US20170004988A1
Принадлежит:

Implementations described herein provide a chucking circuit for a pixilated electrostatic chuck which enables both lateral and azimuthal tuning of the RF coupling between an electrostatic chuck and a substrate placed thereon. In one embodiment, a chucking circuit for an electrostatic chuck (ESC) has one or more chucking electrodes disposed in a dielectric body of the ESC, a plurality of pixel electrodes disposed in the dielectric body, and a chucking circuit having the one or more chucking electrodes and the plurality of pixel electrodes, the chucking circuit operable to electrostatically chuck a substrate to a workpiece support surface of the ESC, the chucking circuit having a plurality of secondary circuits, wherein each secondary circuit includes at least one capacitor of a plurality of capacitors, each secondary circuit is configured to independently control an impedance between one of the pixel electrodes and a ground. 1. A chucking circuit for an electrostatic chuck (ESC) , the chucking circuit comprising:one or more chucking electrodes disposed in a dielectric body of the ESC;a plurality of pixel electrodes disposed in the dielectric body; anda chucking circuit comprising the one or more chucking electrodes and the plurality of pixel electrodes, the chucking circuit operable to electrostatically chuck a substrate to a workpiece support surface of the ESC, the chucking circuit having a plurality of secondary circuits, wherein each secondary circuit includes at least one capacitor of a plurality of capacitors, each secondary circuit is configured to independently control an impedance between one of the pixel electrodes and a ground.2. The chucking circuit of claim 1 , further comprising:a pixel controller configured to independently open and close the secondary circuits.3. The chucking circuit of claim 1 , wherein the plurality of capacitors further comprise:a plurality of variable capacitors; anda plurality of fixed capacitors, wherein the plurality of ...

Подробнее
04-01-2018 дата публикации

APPARATUS AND METHOD FOR DEPOSITION AND ETCH IN GAP FILL

Номер: US20180005801A1
Принадлежит:

Provided are apparatuses and methods for performing deposition and etch processes in an integrated tool. An apparatus may include a plasma processing chamber that is a capacitively-coupled plasma reactor, and the plasma processing chamber can include a showerhead that includes a top electrode and a pedestal that includes a bottom electrode. The apparatus may be configured with an RF hardware configuration so that an RF generator may power the top electrode in a deposition mode and power the bottom electrode in an etch mode. In some implementations, the apparatus can include one or more switches so that at least an HFRF generator is electrically connected to the showerhead in a deposition mode, and the HFRF generator and an LFRF generator is electrically connected to the pedestal and the showerhead is grounded in the etch mode. 1. A method of filling one or more gaps in a wafer , the method comprising:providing a wafer on a pedestal in a plasma processing chamber, wherein the wafer has one or more gaps each having a depth to width aspect ratio of greater than about 5:1;depositing, in the plasma processing chamber, a first dielectric layer in the one or more gaps via ALD;anisotropically etching with slope control, in the plasma processing chamber, the first dielectric layer; anddepositing, in the plasma processing chamber, a second dielectric layer in the one or more gaps over the first dielectric layer via ALD.2. The method of claim 1 , wherein a wafer temperature is between about 80° C. and about 400° C. while depositing the first dielectric layer claim 1 , while anisotropically etching with slope control the first dielectric layer claim 1 , and while depositing the second dielectric layer.3. The method of claim 1 , wherein a wafer temperature is greater than about 200° C. while anisotropically etching with slope control the first dielectric layer.4. The method of claim 1 , wherein anisotropically etching with slope control the first dielectric layer is performed ...

Подробнее
04-01-2018 дата публикации

METHOD FOR OPERATION INSTABILITY DETECTION IN A SURFACE WAVE PLASMA SOURCE

Номер: US20180005805A1
Принадлежит:

Provided are methods and systems for operation instability detection in a surface wave plasma source. In an embodiment a system for plasma processing may include a surface wave plasma source configured to generate a plasma field. The system may also include an optical sensor configured to generate information characteristic of optical energy collected in a region proximate to the surface wave plasma source. Additionally, the system may include a sensor logic unit configured to detect a region of instability proximate to the surface wave plasma source in response to the information generated by the optical sensor. 1. A system for plasma processing comprising:a surface wave plasma source configured to generate a plasma field;an optical sensor configured to generate information characteristic of optical energy collected in a region proximate to the surface wave plasma source; anda sensor logic unit configured to detect a region of instability proximate to the surface wave plasma source in response to the information generated by the optical sensor.2. The system of wherein the surface wave plasma source further comprises a plasma distribution plate having a plurality of regions of non-uniformity on a surface of the plasma distribution plate.3. The system of wherein the plurality of regions of non-uniformity comprise dimples formed in a surface of the plasma distribution plate.4. The system of further comprising an optical fiber disposed in a region proximate a dimple formed in the surface of the plasma distribution plate.5. The system of wherein the optical fiber is configured to collect the optical energy from plasma generated in the region proximate to the dimple formed in the surface plasma distribution plate.6. The system of wherein the optical sensor comprises a light sensitive diode disposed proximate to the optical fiber.7. The system of further comprising a plurality of optical fibers claim 4 , each optical fiber disposed in a region proximate one of a plurality ...

Подробнее
07-01-2021 дата публикации

SUPPORT UNIT AND SUBSTRATE TREATING APPARATUS INCLUDING THE SAME

Номер: US20210005419A1
Принадлежит: SEMES CO., LTD.

A support unit provided in an apparatus for treating a substrate using plasma includes a dielectric plate on which the substrate is placed, an electrode plate disposed under the dielectric plate, a power supply rod that applies power to the electrode plate, and a flange that has a shape surrounding the power supply rod and that is spaced apart from the power supply rod. 1. A support unit provided in an apparatus for treating a substrate using plasma , the support unit comprising:a dielectric plate on which the substrate is placed;an electrode plate disposed under the dielectric plate;a power supply rod configured to apply power to the electrode plate; anda flange having a shape surrounding the power supply rod, the flange being spaced apart from the power supply rod.2. The support unit of claim 1 , wherein the flange is grounded.3. The support unit of claim 2 , wherein the flange is provided to surround part of the power supply rod and is moved in an up-down direction by a lifting member.4. The support unit of claim 3 , wherein the lifting member includes:a cylinder including a cam shaft movable in a first direction; anda roller shaft configured to make contact with the cam shaft, the roller shaft being movable in a second direction different from the first direction by movement of the cam shaft.5. The support unit of claim 4 , wherein the flange is coupled with the roller shaft.6. The support unit of claim 3 , wherein the lifting member includes:a rack connected with an actuator, the rack being movable in a first direction; anda pinion engaged with the rack, the pinion being movable in a second direction different from the first direction by movement of the rack.7. The support unit of claim 6 , wherein the lifting member further includes a lifting shaft connected to the pinion and extending in the second direction claim 6 , andwherein the flange is connected with the lifting shaft.8. The support unit of claim 6 , wherein the rack has a shape upwardly inclined with ...

Подробнее
07-01-2021 дата публикации

SHOWER HEAD UNIT AND SYSTEM FOR TREATING SUBSTRATE WITH THE SHOWER HEAD UNIT

Номер: US20210005424A1
Принадлежит:

Provided is a shower head unit for control temperature for each area using a planar heating element and a substrate treating system having the same. The substrate treating system includes a housing, a shower head unit installed on an inner upper side of the housing and for entering a process gas for etching a substrate into the housing, and an electrostatic chuck installed on an inner lower side of the housing and for seating the substrate, wherein the shower head unit is installed as a planar heating element in a plurality of areas to control temperature for each area. 1. A substrate treating system comprising:a housing;a shower head unit installed on an inner upper side of the housing and for entering a process gas for etching a substrate into the housing; andan electrostatic chuck installed on an inner lower side of the housing and for seating the substrate,wherein the shower head unit is installed as a planar heating element in a plurality of areas to control temperature for each area.2. The substrate treating system of claim 1 ,wherein the shower head unit comprises,a shower plate having a plurality of first holes and for spraying the process gas into the housing through the first hole;a lower plate installed on the shower plate and having a plurality of second holes connected to the first hole and formed to be stepped;an upper plate installed on the lower plate and for distributing the process gas to the second hole; anda heating member installed on the shower plate and installed as the planar heating element in a center area, a middle area, and an edge area, respectively.3. The substrate treating system of claim 2 ,wherein the heating member is installed between the lower plate and the upper plate, installed inside the lower plate, or installed between the shower plate and the lower plate.4. The substrate treating system of claim 2 ,wherein the heating member comprises,a first heating element installed in the center area as the planar heating element;a second ...

Подробнее
04-01-2018 дата публикации

CHAMBER FILLER KIT FOR DIELECTRIC ETCH CHAMBER

Номер: US20180005851A1
Принадлежит:

A chamber filler kit for balancing electric fields in a dielectric etch chamber is provided. A transport module filler comprises an electrical conductive body, an etch resistant surface, wherein the etch resistant surface comprises an inner curved surface, which matches a partial cylindrical bore of the etch chamber, and a wafer transport aperture, wherein the transport module filler fits into a transport aperture of the etch chamber. A transport module sealer plate is adapted to be mechanically and electrically connected to the partially cylindrical chamber body and the transport module filler. A bias housing filler is adapted to be mechanically and electrically connected to a bias housing wall and comprises a conductive body and an etch resistant surface, wherein the etch resistant surface comprises a curved surface, which matches the partial cylindrical bore. 1. A chamber filler kit for balancing electric fields in a dielectric etch chamber , wherein the dielectric etch chamber comprises a partially cylindrical chamber body with a partial cylindrical bore with a transport aperture and a bias housing aperture opposite the transport aperture , and a bias housing wall adjacent to the bias housing aperture , the chamber filler kit comprising: an electrical conductive body;', 'an etch resistant surface, wherein the etch resistant surface comprises an inner curved surface, which matches the partial cylindrical bore; and', 'a wafer transport aperture for allowing a wafer and a robotic arm to pass into the partial cylindrical bore, wherein the transport module filler fits into the transport aperture and fills at least half of a volume of the transport aperture;, 'a transport module filler comprisinga transport module sealer plate adapted to be mechanically and electrically connected to the partially cylindrical chamber body and the transport module filler comprising a seal for creating a seal around the transport aperture; and a conductive body; and', 'an etch resistant ...

Подробнее
07-01-2021 дата публикации

ATOMIC LAYER ETCHING AND SMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BINDING ENERGY MATERIALS

Номер: US20210005425A1
Принадлежит:

Etching a refractory metal or other high surface binding energy material on a substrate can maintain or increase the smoothness of the metal/high EO surface, in some cases produce extreme smoothing. A substrate having an exposed refractory metal/high EO surface is provided. The refractory metal/high EO surface is exposed to a modification gas to modify the surface and form a modified refractory metal/high EO surface. The modified refractory metal/high EO surface is exposed to an energetic particle to preferentially remove the modified refractory metal/high EO surface relative to an underlying unmodified refractory metal/high EO surface such that the exposed refractory metal/high EO surface after removing the modified refractory metal/high EO surface is as smooth or smoother than the substrate surface before exposing the substrate surface to the modification gas. 1. A method of etching a refractory metal or other high surface binding energy (high E) material on a substrate , the method comprising:{'sub': 'O', 'providing a substrate comprising an exposed refractory metal/high Esurface;'}{'sub': O', 'O, 'exposing the refractory metal/high Esurface to a modification gas to modify the surface and form a modified refractory metal/high Esurface; and'}{'sub': O', 'O', 'O, 'exposing the modified refractory metal/high Esurface to an energetic particle to preferentially remove the modified refractory metal/high Esurface relative to an underlying unmodified refractory metal/high Esurface;'}{'sub': O', 'O, 'wherein the exposed refractory metal/high Esurface after removing the modified refractory metal/high Esurface is as smooth or smoother than the substrate surface before exposing the substrate surface to the modification gas.'}2. The method of claim 1 , wherein the smoothness of the refractory metal/high Esurface is maintained.3. The method of claim 1 , wherein the smoothness of the refractory metal/high Esurface is increased.4. The method of claim 3 , wherein the smoothness ...

Подробнее
07-01-2021 дата публикации

PLASMA PROCESSING APPARATUS, PLASMA PROCESSING METHOD, AND MEMORY MEDIUM

Номер: US20210005429A1
Принадлежит: CANON ANELVA CORPORATION

A plasma processing apparatus includes an impedance matching circuit, a balun having a first unbalanced terminal connected to the impedance matching circuit, a grounded second unbalanced terminal, a first balanced terminal and a second balanced terminal, a grounded vacuum container, a first electrode electrically connected to the first balanced terminal, a second electrode electrically connected to the second balanced terminal, an adjustment reactance configured to affect a relationship between a first voltage applied to the first electrode and a second voltage applied to the second electrode, a high-frequency power supply configured to supply a high frequency between the first unbalanced terminal and the second unbalanced terminal via the impedance matching circuit, and a controller configured to control an impedance of the impedance matching circuit and a reactance of the adjustment reactance. 1. A plasma processing apparatus comprising:an impedance matching circuit;a balun including a first unbalanced terminal connected to the impedance matching circuit, a grounded second unbalanced terminal, a first balanced terminal, and a second balanced terminal;a grounded vacuum container;a first electrode electrically connected to the first balanced terminal;a second electrode electrically connected to the second balanced terminal;an adjustment reactance configured to affect a relationship between a first voltage applied to the first electrode and a second voltage applied to the second electrode;a high-frequency power supply configured to supply a high frequency between the first unbalanced terminal and the second unbalanced terminal via the impedance matching circuit; anda controller configured to control an impedance of the impedance matching circuit and a reactance of the adjustment reactance,wherein the first electrode is configured to hold a first target, the second electrode is configured to hold a second target, the first electrode faces a space on a side of a ...

Подробнее
07-01-2021 дата публикации

Plasma Processing Apparatus With Post Plasma Gas Injection

Номер: US20210005431A1

Plasma processing with post plasma gas injection is provided. In one example implementation, a plasma processing apparatus includes a plasma chamber. The apparatus includes a processing chamber separated from the plasma chamber. The processing chamber includes a substrate holder operable to support a workpiece. The apparatus includes a plasma source configured to generate a plasma in the plasma chamber. The apparatus includes a separation grid separating the plasma chamber from the processing chamber. The separation grid can be configured to filter one or more ions generated in the plasma and allow the passage of neutral particles from the plasma chamber to the processing chamber. The apparatus can include at least one gas port configured to inject a gas into neutral particles passing through the separation grid.

Подробнее
07-01-2021 дата публикации

MODULATING FILM PROPERTIES BY OPTIMIZING PLASMA COUPLING MATERIALS

Номер: US20210005500A1
Принадлежит: Applied Materials, Inc.

Embodiments of the present disclosure generally relate to a substrate processing chamber, and components thereof, for forming semiconductor devices. The processing chamber comprises a substrate support, and an edge ring is disposed around the substrate support. The edge ring comprises a material selected from the group consisting of quartz, silicon, cross-linked polystyrene and divinylbenzene, polyether ether ketone, AlO, and AlN. The material of the edge ring is selected to modulate the properties of hardmask films deposited on substrates in the processing chamber. As such, hardmask films having desired film properties can be deposited in the processing chamber without scaling up the RF power to the chamber. 1. A method for modulating properties of one or more hardmask films , comprising:depositing a first hardmask film on a first substrate and processing the first substrate at a first RF power;measuring one or more film properties of the first hardmask film;removing the first substrate from a substrate support;{'sub': 2', '3, 'swapping a first edge ring in the substrate support for a second edge ring, the second edge ring comprising a material selected from the group consisting of quartz, silicon, cross-linked polystyrene and divinylbenzene, polyether ether ketone, AlO, and AlN;'}placing a second substrate on the substrate support;depositing a second hardmask film on the second substrate and processing the second substrate at the first RF power; andmeasuring the one or more film properties of the second hardmask film.2. The method of claim 1 , wherein the second hardmask film has a change in modulus different than the first hardmask film of at least about 20% claim 1 , and wherein the second hardmask film has a change in stress different than the first hardmask film of less than about 5%.3. The method of claim 1 , wherein the second hardmask film has a change in stress different than the first hardmask film of at least about 20% claim 1 , and wherein the second ...

Подробнее
02-01-2020 дата публикации

SELECTIVE GROWTH OF METAL-CONTAINING HARDMASK THIN FILMS

Номер: US20200006073A1
Принадлежит:

Methods and apparatuses for selectively growing metal-containing hard masks are provided herein. Methods include providing a substrate having a pattern of spaced apart features, each feature having a top horizontal surface, filling spaces between the spaced apart features with carbon-containing material to form a planar surface having the top horizontal surfaces of the features and carbon-containing material, selectively depositing a metal-containing hard mask on the top horizontal surfaces of the features relative to the carbon-containing material, and selectively removing the carbon-containing material relative to the metal-containing hard mask and features. 1. A method comprising:providing a patterned semiconductor substrate having features spaced apart on an underlying material to be etched;filling spaces between the features with an ashable fill such that top horizontal surfaces of the features are exposed and sidewalls of the features contact the ashable fill;after filling the spaces between the features, selectively depositing a metal-containing hard mask on the exposed top horizontal surfaces of the features relative to the ashable fill; andremoving the ashable fill relative to the features and metal-containing hard mask.2. The method of claim 1 , wherein the filling between the features is performed to form a planar surface comprising the top horizontal surfaces of the features and the ashable fill.3. The method of claim 1 , wherein the filling between the features is done by spin-on.4. The method of claim 3 , wherein the spin-on is performed by injecting a carbon-containing fluid mixture onto the patterned semiconductor substrate followed by heat curing.5. The method of claim 3 , wherein the filling between the features is done by spin-on followed by planarization to expose the top horizontal surfaces of the features.6. The method of claim 1 , wherein the filling between the features is done by plasma enhanced chemical vapor deposition.7. The method of ...

Подробнее
02-01-2020 дата публикации

IN-SITU DEPOSITION AND ETCH PROCESS AND APPARATUS FOR PRECISION PATTERNING OF SEMICONDUCTOR DEVICES

Номер: US20200006080A1
Принадлежит:

A first material layer, a second material layer, and a photoresist layer may be formed over a substrate. The second material layer may be patterned by transfer of a lithographic pattern therethrough. A conformal spacer layer may be formed over the patterned second material layer in a chamber enclosure of an in-situ deposition-etch apparatus. Spacer films may be formed by anisotropically etching the conformal spacer layer in the chamber enclosure of the in-situ deposition-etch apparatus. The first material layer may be anisotropically etched using a combination of the patterned second material layer and the spacer films as an etch mask in the in-situ deposition-etch apparatus. A high fidelity pattern may be transferred into the first material layer with reduced line edge roughness, reduced line width roughness, and without enlargement of lateral dimensions of openings in the first material layer. 1. A method of patterning a structure , comprising:forming a first material layer, a second material layer, and a photoresist layer over a substrate;lithographically patterning the photoresist layer;forming a patterned second material layer by transferring a pattern in the photoresist layer through the second material layer using a first anisotropic etch process;depositing a conformal spacer layer over the patterned second material layer in a chamber enclosure of an in-situ deposition-etch apparatus;forming spacer films by anisotropically etching the conformal spacer layer in the chamber enclosure of the in-situ deposition-etch apparatus; andanisotropically etching portions of the first material layer located between the substrate and bottom surfaces of the spacer films using a combination of the patterned second material layer and the spacer films as an etch mask in the in-situ deposition-etch apparatus.2. The method of claim 1 , wherein a combination of the substrate claim 1 , the first material layer claim 1 , and the second material layer remain in the in-situ deposition ...

Подробнее
20-01-2022 дата публикации

COATED GLASS ARTICLES AND PROCESSES FOR PRODUCING THE SAME

Номер: US20220017408A1
Принадлежит:

According to one embodiment, a method for producing a coated glass article may include applying an anti-reflective coating onto a glass substrate. The glass substrate may include a first major surface, and a second major surface opposite the first major surface. The anti-reflective coating may be applied to the first major surface of the glass substrate. A substrate thickness may be measured between the first major surface and the second major surface. The glass substrate may have an aspect ratio of at least about 100:1. The coated glass article may have a reflectance of less than 2% for all wavelengths from 450 nanometers to 700 nanometers. The anti-reflective coating may include one or more layers. The cumulative layer stress of the anti-reflective coating may have an absolute value less than or equal to about 167,000 MPa nm. 1. A coated glass article comprising:a glass substrate comprising a first major surface, a second major surface opposite the first major surface, and a substrate thickness measured between the first major surface and the second major surface, the glass substrate having an aspect ratio of at least about 100:1;{'sub': i=1', 'i', 'i, 'sup': 'n', 'an anti-reflective coating comprising one or more layers, each layer comprising a layer thickness (t) and a film stress (α), wherein a cumulative layer stress of the anti-reflective coating has an absolute value less than or equal to about 167,000 MPa nm, wherein the cumulative layer stress is defined as Σ(α×t) for an anti-reflective coating comprising n layers; and'} the coated glass article having a reflectance of less than or equal to about 2% for all wavelengths from 450 nm to 700 nm when viewed on the first major surface at an angle of incidence of less than or equal to about 10°; and', 'the coated glass article has a bow of from about −100 microns to 100 microns., 'wherein2. The coated glass article of claim 1 , wherein the cumulative layer stress of the anti-reflective coating has an absolute ...

Подробнее
03-01-2019 дата публикации

APPARATUS AND TECHNIQUES FOR ANISOTROPIC SUBSTRATE ETCHING

Номер: US20190006587A1

A method may include generating a plasma in a plasma chamber, the plasma comprising an etchant species and extracting a pulsed ion beam from the plasma chamber and directing the pulsed ion beam to a substrate, where the pulsed ion beam comprises an ON portion and an OFF portion. During the OFF portion the substrate may not be biased with respect to the plasma chamber, and the duration of the OFF portion may be less than a transit time of the etchant species from the plasma chamber to the substrate. 1. A method , comprising:generating a plasma in a plasma chamber, the plasma comprising an etchant species; andextracting a pulsed ion beam from the plasma chamber and directing the pulsed ion beam to a substrate, the pulsed ion beam comprising an ON portion and an OFF portion,wherein during the OFF portion the substrate is not biased with respect to the plasma chamber, andwherein a duration of the OFF portion is less than a transit time of the etchant species from the plasma chamber to the substrate.2. The method of claim 1 , wherein the plasma is generated by providing RF power to the plasma chamber claim 1 , the method further comprising adjusting a level of RF power of the plasma in concert with the pulsed ion beam claim 1 , wherein the plasma comprises a first RF power level during the ON portion and a second RF power level during the OFF portion claim 1 , wherein the first RF power level is higher than the second RF power level.3. The method of claim 2 , wherein the second RF power level is adequate to sustain the plasma.4. The method of claim 1 , wherein the etchant species is a thermal neutral species.5. The method of claim 1 , wherein the etchant species comprises at least one of chlorine neutrals and chlorine ions.6. The method of claim 1 , comprising:setting a separation between the plasma chamber and the substrate, wherein the transit time of the etchant species is proportional to the separation; andsetting the duration of the OFF portion to be less than the ...

Подробнее
20-01-2022 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20220020567A1
Автор: KOSHIMIZU Chishio
Принадлежит: TOKYO ELECTRON LIMITED

A disclosed plasma processing apparatus includes a chamber, a substrate support, a plasma generator, and first and second power sources. The first power source is configured to generate an electric bias and electrically connected to a lower electrode of the substrate support provided in the chamber. The second power source is configured to apply a positive voltage to a member in a first period that is a part of a whole period in which the electric bias output from the first power source to the lower electrode has a potential not less than an average potential of the electric bias within a cycle thereof. The member is disposed to be exposed to plasma generated in the chamber. The first power source is configured to output the electric bias having a positive potential to the lower electrode in a second period after the first period. 1. A plasma processing apparatus comprising:a chamber;a substrate support having a lower electrode and provided in the chamber;a plasma generator configured to generate plasma from a gas in the chamber;a first power source configured to generate an electric bias and electrically connected to the lower electrode; anda second power source configured to apply a positive voltage to a member that is exposed to the plasma generated in the chamber,wherein the second power source is configured to apply the positive voltage to the member in a first period that is a part of a whole period in which the electric bias that is output from the first power source to the lower electrode has a potential equal to or higher than an average potential of the electric bias within a cycle thereof, andthe first power source is configured to output the electric bias having a positive potential to the lower electrode in a second period after the first period.2. The plasma processing apparatus according to claim 1 ,wherein the plasma generator is configured to stop supply of radio frequency power for plasma generation in the chamber or reduce a power level of the ...

Подробнее
08-01-2015 дата публикации

WAFER PROCESSING CHAMBER AND METHOD FOR TRANSFERRING WAFER IN THE SAME

Номер: US20150010381A1
Автор: CAI YAN
Принадлежит:

A wafer processing chamber and a method for transferring wafer in the same are provided to prevent the arcing issue. In the embodiments, a wafer is positioned on the focus ring, and a lifting apparatus is provided outside the wafer such as corresponding to the focus ring. The lifting apparatus of the embodiment could be positioned below or above the focus ring. The wafer and the focus ring are lifted together by the lifting apparatus, and transferred together by a transferring unit. 1. A method for transferring wafer in process chamber , comprising:providing a focus ring and a lifting apparatus positioned corresponding to the focus ring;setting a wafer on the focus ring;lifting the wafer and the focus ring together by the lifting apparatus; andtransferring the wafer and the focus ring together by a transferring unit.2. The method according to claim 1 , wherein the lifting apparatus is positioned below the focus ring.3. The method according to claim 2 , wherein the lifting apparatus contacts a bottom surface of the focus ring for lifting the wafer and the focus ring up together.4. The method according to claim 2 , wherein when the lifting apparatus is in a retracted state claim 2 , the focus ring with the wafer thereon are positioned in a first position; when the lifting apparatus is in a projected state claim 2 , the focus ring with the wafer thereon are positioned in a second position higher than the first position.5. The method according to claim 1 , wherein the lifting apparatus comprises a plurality of lift pins.6. The method according to claim 1 , wherein the lifting apparatus is positioned above the focus ring.7. The method according to claim 6 , wherein the lifting apparatus contacts an upper surface the focus ring for lifting the wafer and the focus ring up together.8. The method according to claim 1 , wherein the lifting apparatus comprises a magnetic assembly.9. The method according to claim 8 , wherein the lifting apparatus comprises a first magnetic unit ...

Подробнее
14-01-2021 дата публикации

SPUTTERING APPARATUS AND METHOD FOR FABRICATING SEMICONDUCTOR DEVICE USING THE SAME

Номер: US20210010127A1
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

A sputtering apparatus including a chamber, a stage inside the chamber and configured to receive a substrate thereon, a first sputter gun configured to provide a sputtering source to an inside of the chamber, a first RF source configured to provide a first power having a first frequency to the first sputter gun, and a second RF source configured to provide a second power having a second frequency to the first sputter gun, the second frequency being lower than the first frequency may be provided. 1. A sputtering apparatus comprising:a chamber;a stage inside the chamber, the stage configured to receive a substrate thereon;a first sputter gun configured to provide a sputtering source to an inside of the chamber;a first RF source configured to provide a first power to the first sputter gun, the first power having a first frequency; anda second RF source configured to provide a second power to the first sputter gun, the second power having a second frequency lower than the first frequency.2. The sputtering apparatus of claim 1 , further comprising:a second sputter gun spaced apart from the first sputter gun, the second sputter gun configured to provide the sputtering source to the inside of the chamber;a third RF source configured to provide a third power to the second sputter gun, the third power having the first frequency; anda fourth RF source configured to provide a fourth power to the second sputter gun, the fourth power having the second frequency.3. The sputtering apparatus of claim 2 , whereinthe first sputter gun comprises a first target at a lower portion thereof,the second sputter gun comprises a second target at a lower portion thereof, andthe first target and the second target comprise a same material.4. The sputtering apparatus of claim 1 , further comprising:a second sputter gun adjacent to the first sputter gun, the second sputter gun configured to provide the sputtering source to the inside of the chamber,wherein the first RF source is further configured ...

Подробнее
27-01-2022 дата публикации

Member for semiconductor manufacturing device

Номер: US20220028657A1
Автор: Yasutaka NITTA
Принадлежит: TOTO LTD

A member for a semiconductor manufacturing device includes an alumite base material including a concavity and a first layer formed on the alumite base material and including an yttrium compound. The first layer includes an outer surface, a first region on a side of the outer surface, and a second region provided in the concavity and located between the first region and the alumite base material. The concavity includes first and second portions respectively provided with the first and second regions. A width of the second portion is narrower than a width of the first portion in a cross section along a stacking direction and a boundary of the first layer in the concavity and the alumite base material being curved convex toward the outer surface of the first layer.

Подробнее
27-01-2022 дата публикации

PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20220028666A1
Автор: Hirayama Masaki
Принадлежит:

A plasma processing apparatus according to an exemplary embodiment includes a processing container, a stage, a dielectric plate, an upper electrode, an introduction part, a driving shaft, and an actuator. The stage is provided in the processing container. The dielectric plate is provided above the stage via a space in the processing container. The upper electrode has flexibility, is provided above the dielectric plate, and provides a gap between the dielectric plate and the upper electrode. The introduction part is an introduction part of radio frequency waves that are VHF waves or UHF waves, is provided at a horizontal end portion of the space. The driving shaft is coupled to the upper electrode on a central axial line of the processing container. The actuator is configured to move the driving shaft in a vertical direction. 113-. (canceled)14. A plasma processing apparatus comprising:a processing container;a stage provided in the processing container;a dielectric plate provided above the stage via a space in the processing container;an upper electrode having flexibility and being provided above the dielectric plate, the upper electrode being configured to provide a gap between the dielectric plate and the upper electrode;an introduction part of radio frequency waves that are VHF waves or UHF waves, the introduction part being provided at a horizontal end portion of the space;a driving shaft that is a central axial line of the processing container extending in a vertical direction and is coupled to the upper electrode on the central axial line including a center of the stage; andan actuator configured to move the driving shaft in the vertical direction.15. The plasma processing apparatus of claim 14 , further comprising:an elastic member interposed between a peripheral edge portion of the dielectric plate and the processing container,wherein the peripheral edge portion of the dielectric plate is elastically supported between the processing container and the upper ...

Подробнее
27-01-2022 дата публикации

LIFT PIN INTERFACE IN A SUBSTRATE SUPPORT

Номер: US20220028720A1
Автор: SULYMAN Alexander
Принадлежит:

Methods and apparatus for lift pin interfaces for electrostatic chucks are provided herein. In some embodiments, a lift pin interface in an electrostatic chuck includes: a dielectric plate having a support surface for a substrate; a conductive plate disposed beneath the dielectric plate and having an opening formed therethrough, wherein the dielectric plate includes a protrusion extending into the opening in the conductive plate; and a lift pin guide disposed in the opening, wherein the lift pin guide includes one or more features that extend from an upper surface of the lift pin guide and that overlap with the protrusion of the dielectric plate. 1. A lift pin interface in an electrostatic chuck , comprising:a dielectric plate having a support surface for a substrate;a conductive plate disposed beneath the dielectric plate and having an opening formed therethrough, wherein the dielectric plate includes a protrusion extending into the opening in the conductive plate; anda lift pin guide disposed in the opening, wherein the lift pin guide includes one or more features that extend from an upper surface of the lift pin guide and that overlap with the protrusion of the dielectric plate, and wherein the lift pin guide includes a passageway to accommodate a lift pin.2. The lift pin interface of claim 1 , wherein the one or more features include a shoulder.3. The lift pin interface of claim 2 , wherein the protrusion in the dielectric plate includes a countersink that mates with the shoulder.4. The lift pin interface of claim 2 , wherein the one or more features include a single inner shoulder disposed around the passageway.5. The lift pin interface of claim 2 , wherein the one or more features include a single outer shoulder that surrounds the protrusion.6. The lift pin interface of claim 1 , further comprising:an o-ring disposed on the upper surface of the lift pin guide between the lift pin guide and the protrusion.7. The lift pin interface of claim 1 , wherein the one ...

Подробнее
09-01-2020 дата публикации

HIGH IMPEDANCE RF FILTER FOR HEATER WITH IMPEDANCE TUNING DEVICE

Номер: US20200010957A1
Принадлежит:

Embodiments provide a plasma processing apparatus, substrate support assembly, and method of controlling a plasma process. The apparatus and substrate support assembly include a substrate support pedestal, a tuning assembly that includes a tuning electrode that is disposed in the pedestal and electrically coupled to a radio frequency (RF) tuner, and a heating assembly that includes one or more heating elements disposed within the pedestal for controlling a temperature profile of the substrate, where at least one of the heating elements is electrically coupled to an RF filter circuit that includes a first inductor configured in parallel with a formed capacitance of the first inductor to ground. The high impedance of the RF filters can be achieved by tuning the resonance of the RF filter circuit, which results in less RF leakage and better substrate processing results. 1. A method of processing a substrate , comprising:generating a radio frequency (RF) plasma in a process volume of a processing chamber;controlling an impedance of a tuning circuit, wherein controlling the impedance includes minimizing the impedance of a tuning electrode disposed within a substrate supporting pedestal to ground; andreducing RF coupling between a heater element disposed within the substrate supporting pedestal and the generated RF plasma, wherein the reducing the RF coupling comprises electrically coupling an RF filter circuit that comprises a first inductor in parallel with a first capacitance to the heater element.2. The method of claim 1 , wherein the RF filter circuit is disposed within a grounded enclosure.3. The method of claim 2 , further comprising:positioning the first inductor relative to a grounded surface of the grounded enclosure to form the first capacitance.4. The method of claim 1 , wherein minimizing the impedance of the tuning electrode comprises adjusting a variable capacitor configured in parallel with a second inductor.5. The method of claim 4 , wherein the variable ...

Подробнее
09-01-2020 дата публикации

Toroidal Plasma Processing Apparatus with a Shaped Workpiece Holder

Номер: US20200010976A1
Принадлежит: PLASMABILITY LLC

A plasma processing apparatus includes a toroidal-shape plasma vessel comprising a process chamber. A magnetic core surrounds a portion of the toroidal-shape plasma vessel. An RF power supply having an output that is electrically connected to the magnetic core energizes the magnetic core, thereby forming a toroidal plasma loop discharge in the plasma chamber. A workpiece holder is positioned in the toroidal-shape plasma vessel and includes at least one face. A plasma guiding structure is shaped and dimensioned so as to constrain a section of plasma in the toroidal plasma loop to travel substantially perpendicular to a normal to the at least one face.

Подробнее
12-01-2017 дата публикации

Plasma processing device

Номер: US20170011890A1
Принадлежит: Hitachi High Technologies Corp

A plasma processing device that includes a processing chamber which is disposed in a vacuum vessel and is decompressed internally, a sample stage which is disposed in the processing chamber and on which a sample of a process target is disposed and held, and a plasma formation unit which forms plasma using process gas and processes the sample using the plasma, and the plasma processing device includes: a dielectric film which is disposed on a metallic base configuring the sample stage and connected to a ground and includes a film-like electrode supplied with high-frequency power internally; a plurality of elements which are disposed in a space in the base and have a heat generation or cooling function; and a feeding path which supplies power to the plurality of elements, wherein a filter to suppress a high frequency is not provided on the feeding path.

Подробнее
12-01-2017 дата публикации

BEARING DEVICE AND PLASMA PROCESSING APPARATUS

Номер: US20170011892A1
Автор: CHEN PENG, GUO Hao, HOU Jue
Принадлежит: Beijing NMC Co., Ltd.

Embodiments of the invention provide a bearing device and a plasma processing apparatus. According to at least one embodiment, the bearing device includes a base, a base driving mechanism, a pressing ring and a baffle ring. The base is used for bearing a workpiece to be processed; the base driving mechanism is used for driving the base to move up to a process position or down to a loading and unloading position; the pressing ring is used for clamping an edge region of the workpiece to be processed on the base when the base is at the process position; the baffle ring surrounds an outer peripheral wall of the base and is located under the pressing ring; surfaces of the pressing ring and the baffle ring opposite to each other include a pair of guiding tori, which are inclined outwardly at a same angle with respect to a centerline of the base in a vertical direction; and, during the process of driving the base to move up by the base driving mechanism, the guiding tori contact and move toward each other, so as to achieve positioning of the pressing ring and the base. 1. A bearing device , comprising:a base;a base driving mechanism;a pressing ring; anda baffle ring,wherein the base is configured to bear a workpiece to be processed,wherein the base driving mechanism is configured to drive the base to move up to a process position or down to a loading and unloading position,wherein the pressing ring is configured to clamp an edge region of the workpiece to be processed on the base when the base is at the process position,wherein the baffle ring is configured to surround an outer peripheral wall of the base and is located under the pressing ring,wherein surfaces of the pressing ring and the baffle ring opposite to each other comprise a pair of guiding tori, which are inclined outwardly at a same angle with respect to a centerline of the base in a vertical direction, andwherein, during the process of driving the base to move up by the base driving mechanism, the guiding tori ...

Подробнее
12-01-2017 дата публикации

APPARATUS FOR DEPOSITING A LAYER ON A SUBSTRATE IN A PROCESSING GAS

Номер: US20170011951A1
Принадлежит:

Apparatus for depositing a layer on a substrate in a process gas includes a chuck containing a first surface for supporting the substrate, a clamp for securing the substrate to the first surface of the chuck, an evacuatable enclosure enclosing the chuck and the clamp and control apparatus. The evacuatable enclosure includes an inlet, through which the processing gas is insertable into the enclosure. The control apparatus is adapted to move at least one of the chuck and the clamp relative to, and independently of, one another to adjust a spacing between the chuck and the clamp during a single deposition process whilst maintaining a flow of the processing gas and a pressure within the enclosure that is less than atmospheric pressure. 11263738392. Apparatus ( , ) for depositing a layer ( , , ) on a substrate () in a processing gas , comprising:{'b': 3', '14', '2, 'a chuck () comprising a first surface () for supporting a substrate ();'}{'b': 4', '2', '14', '3, 'a clamp () for securing the substrate () to the first surface () of the chuck ();'}{'b': 5', '3', '4', '5, 'an evacuatable enclosure () enclosing the chuck () and the clamp () and comprising an inlet through which the processing gas is insertable into the enclosure (), and'}{'b': 19', '3', '4', '3', '4', '5, 'control apparatus () adapted to move at least one of the chuck () and the clamp () relative to, and independently of, one another to adjust a spacing between the chuck () and the clamp () during a single deposition process whilst maintaining a flow of the processing gas and a pressure within the enclosure () that is less than atmospheric pressure.'}2126194221435. The apparatus ( claim 1 , ) according to claim 1 , wherein the control apparatus () is adapted to bring the clamp () into physical contact with the substrate () and secure the substrate () to the first surface () of the chuck () during a single deposition process whilst maintaining a flow of the processing gas and a pressure within the enclosure () ...

Подробнее
14-01-2016 дата публикации

Apparatus and method for tuning a plasma profile using a tuning electrode in a processing chamber

Номер: US20160013022A1
Принадлежит: Applied Materials Inc

Embodiments of the present invention relate to apparatus for enhancing deposition rate and improving a plasma profile during plasma processing of a substrate. According to embodiments, the apparatus includes a tuning electrode disposed in a substrate support pedestal and electrically coupled to a variable capacitor. The capacitance is controlled to control the RF and resulting plasma coupling to the tuning electrode. The plasma profile and the resulting deposition rate and deposited film thickness across the substrate are correspondingly controlled by adjusting the capacitance and impedance at the tuning electrode.

Подробнее
11-01-2018 дата публикации

DOPING METHOD, DOPING APPARATUS, AND SEMICONDUCTOR ELEMENT MANUFACTURING METHOD

Номер: US20180012763A1
Принадлежит: TOKYO ELECTRON LIMITED

Provided is a doping method for doping by injecting a dopant into a processing target substrate. According to this doping method, a value of bias electric power supplied during a plasma doping processing is set to a predetermined value on premise of a washing processing to be performed after a plasma doping, and plasma is generated within a processing vessel using microwaves so as to perform the plasma doping processing on the processing target substrate hold on a holding pedestal in the processing vessel. 1. A doping method for doping by injecting a dopant into a processing target substrate , the doping method comprising:a plasma doping processing step including setting a value of bias electric power supplied during a plasma doping processing to a predetermined value on premise of a washing processing to be performed after a plasma doping, and generating plasma within a processing vessel using microwaves so as to perform the plasma doping processing on the processing target substrate held on a holding pedestal in the processing vessel.2. The doping method of claim 1 , wherein claim 1 , in the plasma doping processing step claim 1 , the value of the bias electric power is set such that a dopant concentration of a top portion of the processing target substrate and a dopant concentration of a side portion of the processing target substrate are substantially equal to each other when the washing processing is performed after the plasma doping processing.3. A doping apparatus comprising:a processing vessel;a gas supply unit configured to supply a doping gas and an inert gas for plasma excitation to the processing vessel;a holding pedestal disposed within the processing vessel and configured to hold a processing target substrate thereon;a plasma generation mechanism configured to generate plasma within the processing vessel using microwaves; anda controller configured to set a value of bias electric power to a predetermined value on premise of a washing processing to be ...

Подробнее
11-01-2018 дата публикации

Plasma processing-apparatus processing object support platform, plasma processing apparatus, and plasma processing method

Номер: US20180012784A1
Автор: Hideo Eto
Принадлежит: Toshiba Memory Corp

According to one embodiment, a plasma processing-apparatus processing object support platform includes a lower plate, an upper plate, and a variable condenser. The lower plate is electrically conductive. The upper plate is provided on the lower plate. A processing object is placed on an upper surface of the upper plate. The variable condenser is provided along a circumferential direction of the lower plate in a region at an upper outer circumferential vicinity of the lower plate. The region has an annular configuration. The variable condenser includes a first capacitance element and a second capacitance element disposed respectively on an inner circumferential side and an outer circumferential side in the region having the annular configuration. Mutually-different control voltages are suppliable to the first capacitance element and the second capacitance element.

Подробнее
10-01-2019 дата публикации

APPARATUS AND METHODS FOR MANIPULATING RADIO FREQUENCY POWER AT AN EDGE RING IN PLASMA PROCESS DEVICE

Номер: US20190013184A1
Автор: CUI Linying, Rogers James
Принадлежит:

The present disclosure relates to apparatus and methods that manipulate the amplitude and phase of the voltage or current of an edge ring. The apparatus includes an electrostatic chuck having a chucking electrode embedded therein for chucking a substrate to the electrostatic chuck. The apparatus further includes a baseplate underneath the substrate to feed RF power to the substrate. The apparatus further includes an edge ring disposed over the electrostatic chuck. The apparatus further includes an edge ring electrode located underneath the edge ring. The apparatus further includes a radio frequency (RF) circuit including a first variable capacitor coupled to the edge ring electrode. 1. A substrate support , comprising:an electrostatic chuck having a chucking electrode embedded therein for chucking a substrate to the electrostatic chuck;a baseplate underneath the substrate to feed RF power to the substrate;an edge ring disposed over the electrostatic chuck;an edge ring electrode located underneath the edge ring; anda radio frequency (RF) circuit including a first variable capacitor coupled to the edge ring electrode.2. The substrate support of claim 1 , further comprising:a controller configured to adjust the first variable capacitor to manipulate either the voltage or the current at the edge ring through the edge ring electrode.3. The substrate support of claim 1 , wherein the first variable capacitor is coupled to an RF power source claim 1 , and the RF circuit further includes a second variable capacitor that is coupled to the ground and to a point between the edge ring electrode and the first variable capacitor.4. The substrate support of claim 3 , wherein a certain range of values of the combination of the first variable capacitor and the second variable capacitor increase/decrease the amplitude of the voltage at the edge ring at one frequency claim 3 , and wherein another range of the combination of the first variable capacitor and the second variable capacitor ...

Подробнее
10-01-2019 дата публикации

MOVEABLE EDGE RING DESIGN

Номер: US20190013232A1
Принадлежит:

An edge ring is configured to be raised and lowered relative to a pedestal, via one or more lift pins, in a processing chamber of a substrate processing system. The edge ring includes an upper surface, an annular inner diameter, an annular outer diameter, a lower surface, and at least one feature arranged in the lower surface of the edge ring. At least one inner surface of the at least one feature is sloped. 1. An edge ring configured to be raised and lowered relative to a pedestal , via one or more lift pins , in a processing chamber of a substrate processing system , the edge ring comprising:an upper surface;an annular inner diameter;an annular outer diameter;a lower surface; andat least one feature arranged in the lower surface of the edge ring, wherein at least one inner surface of the at least one feature is sloped.2. The edge ring of claim 1 , wherein at least two inner surfaces of the at least one feature are sloped.3. The edge ring of claim 1 , wherein the at least one feature is triangular in at least one cross-sectional view.4. The edge ring of claim 1 , wherein the annular inner diameter of the edge ring is configured to overlap an upper plate of the pedestal.5. The edge ring of claim 4 , wherein the at least one feature is arranged in a portion of the lower surface of the edge ring overlapping the upper plate of the pedestal.6. The edge ring of claim 1 , wherein the lower surface of the edge ring is arranged to receive the one or more lift pins.7. The edge ring of claim 1 , wherein the at least one feature comprises a plurality of features each having at least one inner surface that is sloped.8. The edge ring of claim 7 , wherein the plurality of features are circumferentially arranged in a spaced relationship around the lower surface of the edge ring.9. The edge ring of claim 8 , wherein the plurality of features is arranged to align the edge ring relative to the one or more lift pins.10. The edge ring of claim 8 , wherein the plurality of features is ...

Подробнее
14-01-2021 дата публикации

Plasma processing apparatus

Номер: US20210013004A1
Автор: Tetsuya Saitou
Принадлежит: Tokyo Electron Ltd

A plasma processing apparatus includes: a processing container; a stage provided in the processing container and configured to place a substrate on the stage; a gas introduction part provided in an upper portion of the processing container to face the stage and configured to introduce a processing gas into the processing container; and an annular exhaust path which is provided in an upper portion of a side wall of the processing container, and in which an opening toward a center of the processing container is formed at an inner circumferential side of the exhaust path, wherein the stage and the gas introduction part are respectively connected to high-frequency power supplies for generating plasma of the processing gas, wherein the exhaust path is grounded, wherein the plasma processing apparatus further comprises a grounded plasma distribution adjuster covering the opening, and wherein through-holes are formed in the plasma distribution adjuster.

Подробнее
14-01-2021 дата публикации

Plasma Spreading Apparatus And System

Номер: US20210013013A1
Принадлежит:

A device and method of spreading plasma which allows for plasma etching over a larger range of process chamber pressures. A plasma source, such as a linear inductive plasma source, may be choked to alter back pressure within the plasma source. The plasma may then be spread around a deflecting disc which spreads the plasma under a dome which then allows for very even plasma etch rates across the surface of a substrate. The apparatus may include a linear inductive plasma source above a plasma spreading portion which spreads plasma across a horizontally configured wafer or other substrate. The substrate support may include heating elements adapted to enhance the etching. 1. A plasma etching process chamber , said plasma etching process chamber comprising:a plasma source, said plasma source comprising a first end and a second end, said first end comprising a gas input portion, said plasma source coupled to a process chamber at a second end;a process chamber;a constricting plate adapted to constrict the flow of plasma from said plasma source, said constricting plate at said second end of said plasma source, said constricting plate comprising an annulus;a spreading disc, said spreading disc adapted to spread the flow of plasma after the plasma has flowed through said constricting plate, said spreading disc disposed between said constricting plate and the substrate support, said spreading disc centered below said annulus of said constricting plate;a substrate support, said support adapted to support a substrate in the spread plasma flow, said substrate support residing within said process chamber, said substrate support centered below said spreading disc; anda vacuum system, said vacuum system adapted to evacuate said process chamber.2. The plasma etching process chamber of wherein said plasma source is a linear-inductive plasma source.3. The plasma etching process chamber of wherein said plasma source has a cylindrical plasma chamber.4. The plasma etching process chamber ...

Подробнее
14-01-2021 дата публикации

PLASMA PROCESSING APPARATUS

Номер: US20210013015A1
Принадлежит: TOKYO ELECTRON LIMITED

An apparatus includes a plasma processing container; a workpiece placement table disposed in the plasma processing container; a dielectric member having a facing surface that faces the workpiece placement table; an antenna provided on a surface of the dielectric member opposite to the facing surface and configured to introduce an induced electric field for plasma excitation into the plasma processing container via the dielectric member; an electromagnet group disposed along an outer circumference of the plasma processing container and configured to form a magnetic field in the plasma processing container; and a controller configured to control magnitudes of electric currents flowing through respective electromagnets of the electromagnet group differently from each other, to generate a magnetic gradient along a circumferential direction in the magnetic field that exists only in an outer circumferential space in the plasma processing container. 1. An apparatus comprising:a plasma processing container;a workpiece placement table disposed in the plasma processing container;a dielectric plate having a facing surface that faces the workpiece placement table;an antenna provided on a surface of the dielectric plate opposite to the facing surface and configured to introduce an induced electric field for plasma excitation into the plasma processing container via the dielectric plate;an electromagnet group including a plurality of electromagnets disposed along an outer circumference of the plasma processing container and configured to form a magnetic field in the plasma processing container; anda controller configured to control magnitudes of electric currents flowing through respective electromagnets of the electromagnet group differently from each other, to generate a magnetic gradient along a circumferential direction in the magnetic field that exists only in an outer circumferential space in the plasma processing container.2. The apparatus of claim 1 , wherein the ...

Подробнее
14-01-2021 дата публикации

PROCESSING METHOD, PLACING PEDESTAL, PLASMA PROCESSING APPARATUS, AND RECORDING MEDIUM

Номер: US20210013016A1
Автор: TSUTO Takashi
Принадлежит: TOKYO ELECTRON LIMITED

A processing method includes a), b), and c). The a) includes measuring a load imposed on a lift pin when the lift pin lifts a processed substrate from an electrostatic chuck holding the substrate. The b) includes calculating a difference of the load is calculated based on the measured load and an initial load imposed on the lift pins when the lift pins lift the substrate without any residual adsorption force between the electrostatic chuck and the substrate. The c) includes exposing a surface of the electrostatic chuck to first plasma when the difference of the load is equal to or greater than a preset first threshold. 1. A processing method comprising:a) measuring a load imposed on a lift pin when the lift pin lifts a processed substrate from an electrostatic chuck holding the substrate;b) calculating a difference of the load based on the measured load, and an initial load imposed on the lift pin when the lift pin lifts the substrate without any residual adsorption force between the electrostatic chuck and the substrate; andc) exposing a surface of the electrostatic chuck to first plasma when the difference of the load is equal to or greater than a preset first threshold.2. The processing method according to claim 1 , wherein the first threshold is a value smaller than a difference between the initial load and a load imposed on the lift pin at which the substrate jumps when the lift pin lifts the substrate.3. The processing method according to claim 1 , wherein the first plasma is plasma generated by turning nitrogen-containing gas into plasma.4. The processing method according to claim 1 , further comprising:d) measuring an electric charge in the substrate using a sensor provided to a tip of the lift pin, the tip being on a side that is brought into contact with the substrate, when the lift pin lifts the processed substrate from the electrostatic chuck;e) calculating a difference of the electric charge based on the measured electric charge and an initial electric ...

Подробнее
14-01-2021 дата публикации

PLASMA PROCESSING APPARATUS AND METHOD FOR RELEASING SAMPLE

Номер: US20210013060A1
Принадлежит:

The invention provides a plasma processing apparatus which includes a processing chamber, a radio frequency power source to supply a radio frequency power for plasma generation, a sample stage equipped with an electrostatic chuck electrode of a sample, a DC power source to apply a DC voltage to the electrode, and a control unit to change the DC voltage from a predetermined value to almost 0 V when a predetermined time elapses since the supplying of the radio frequency power is stopped. The predetermined value is a predetermined value indicating that a potential of the sample when the DC voltage is almost 0 V becomes almost 0 V. The predetermined time is a time defined on the basis of a time when charged particles generated by the plasma processing disappear or a time when an afterglow discharge disappears. 1. A method for releasing a sample from a sample stage , in which the sample electrostatically adsorbed to the sample stage is subjected to a plasma processing and then released from the sample stage after the plasma processing , comprising:changing a DC voltage applied to an electrode for the electrostatic adsorption from a predetermined value to almost 0 V after a predetermined time elapses since supplying of a radio frequency power to generate plasma is stopped,wherein the predetermined time is a time defined on the basis of a time when charged particles generated by the plasma after the sample is subjected to the plasma processing disappear or a time when an afterglow discharge disappears, andwherein the predetermined value is a predetermined value indicating that a potential of the sample when the DC voltage is almost 0 V becomes almost 0 V.2. The method for releasing the sample according to claim 1 ,wherein, in a case where the number of electrodes is two, the DC voltage is applied to each of the electrodes such that an average value of a DC voltage applied to one electrode and a DC voltage applied to the other electrode becomes the predetermined value.3. ...

Подробнее
14-01-2021 дата публикации

ELECTROSTATIC CHUCK DEVICE AND METHOD FOR MANUFACTURING SAME

Номер: US20210013082A1
Принадлежит: Sumitomo Osaka Cement Co., Ltd.

This electrostatic chuck device () includes a base () having one main surface serving as a mounting surface () on which a plate-shaped sample is mounted, and an electrode for electrostatic attraction () provided on the side opposite to the mounting surface () in the base (), in which the base () consists of a ceramic material as a forming material, and the ceramic material contains aluminum oxide and silicon carbide as main components thereof, and has a layered graphene present at a grain boundary of the aluminum oxide. 1. An electrostatic chuck device comprising:a base having one main surface serving as a mounting surface on which a plate-shaped sample is mounted; andan electrode for electrostatic attraction provided on a side opposite to the mounting surface in the base,wherein the base consists of a ceramic material, andthe ceramic material is a sintered body containing aluminum oxide and silicon carbide as main components thereof and having a layered graphene present at a grain boundary of the aluminum oxide.2. The electrostatic chuck device according to claim 1 ,wherein the sintered body further contains β-SiC type silicon carbide.3. The electrostatic chuck device according to claim 1 ,wherein a relative dielectric constant of the ceramic material at a frequency of 10 Hz is 12.3 or more, and a relative dielectric constant of the ceramic material at a frequency of 1 MHz is 12.5 or less.4. A method for manufacturing the electrostatic chuck device according to claim 1 , the method comprising:a step of heating a formed body obtained by forming granules composed of mixed particles of aluminum oxide particles and silicon carbide particles, at a temperature of 500° C. or lower with a rate of temperature rise of 0.3° C./min or more; anda step of sintering the formed body, which has been treated in the step of heating to form a sintered body containing aluminum oxide and silicon carbide as main components thereof and having a layered graphene present at a grain boundary ...

Подробнее
14-01-2021 дата публикации

Substrate support assembly and substrate processing device including the same

Номер: US20210013085A1
Принадлежит: ASM IP Holding BV

A substrate support assembly arranged in a chamber includes: a support plate including a first surface on which a substrate is seated; a driver configured to tilt the support plate such that the first surface is inclined with respect to a reference surface by a lower inclination angle; and a controller configured to control the driver such that the lower inclination angle is adjusted based on an upper inclination angle formed by the inclination of the gas supplier coupled to the upper surface of the chamber with respect to the reference surface.

Подробнее
09-01-2020 дата публикации

TILTED INTERFEROMETRIC ENDPOINT (IEP) WINDOW FOR SENSITIVITY IMPROVEMENT

Номер: US20200013588A1
Автор: HAN Pengyu, LIAN Lei
Принадлежит:

A tilted window for use in an endpoint detection system of a processing chamber, and a processing chamber having the same are described herein. In one example, the tilted window includes a mounting frame, and a panel mounted in the mounting frame. The mounting frame has a body having a top surface, a bottom surface, and an inner edge connecting the top surface to the bottom surface of the body of the mounting frame. The mounting frame further has a panel disposed in the mounting frame. The panel has a body having a top surface and a bottom surface. The top surface of the body of the panel is oriented at acute angle relative to the top surface of the body of the mounting frame. 1. A tilted window suitable for use in an endpoint detection system of a processing chamber , the tilted window comprising:a mounting frame having a body having a top surface, a bottom surface, and an inner edge connecting the top surface to the bottom surface of the body of the mounting frame; anda panel disposed in the mounting frame, the panel having a body having a top surface and a bottom surface, the top surface of the body of the panel oriented at acute angle relative to the top surface of the body of the mounting frame.2. The tilted window of claim 1 , wherein the acute angle is less than about five degrees.3. The tilted window of claim 1 , wherein the panel is substantially transparent.4. The tilted window of claim 1 , wherein the panel is made of sapphire.5. The tilted window of claim 1 , wherein the panel is substantially circular in shape.6. The tilted window of claim 1 , wherein the top surface of the mounting frame is substantially parallel to the bottom surface of the mounting frame.7. The tilted window of claim 1 , wherein the top surface of the panel is substantially parallel to the bottom surface of the panel.8. The tilted window of claim 1 , wherein the mounting frame is made of one of sapphire claim 1 , fused silica claim 1 , or MgF.9. The tilted window of claim 1 , wherein ...

Подробнее
09-01-2020 дата публикации

ELECTROSTATIC CHUCK AND PLASMA PROCESSING APPARATUS INCLUDING THE SAME

Номер: US20200013595A1
Принадлежит:

An electrostatic chuck includes a chuck base having a first hole, an upper plate provided on the chuck base, the upper plate having a second hole aligned with the first hole, and an adhesive layer attaching the upper plate to the chuck base, the adhesive layer having a thickness that is less than a diameter of the first hole and equal to a diameter of the second hole. 1. An electrostatic chuck , comprising:a chuck base having a first hole;an upper plate provided on the chuck base, the upper plate having a second hole aligned with the first hole; andan adhesive layer attaching the upper plate to the chuck base, the adhesive layer having a thickness that is less than a diameter of the first hole and equal to a diameter of the second hole.2. The electrostatic chuck as claimed in claim 1 , further comprising a bushing provided in the first hole claim 1 , the bushing including:a ring portion having an outer diameter equal to or less than a diameter of the first hole; anda capping portion provided on the ring portion to be in contact with the upper plate, the capping portion having a diameter equal to or less than the diameter of the first hole.3. The electrostatic chuck as claimed in claim 2 , wherein:the adhesive layer has a thickness ranging from about 0.1 mm to about 0.4 mm, andthe first hole has a diameter ranging from about 4.7 mm to about 14 mm.4. The electrostatic chuck as claimed in claim 2 , wherein:the capping portion has a thickness of 0.6 mm and a thermal conductivity of about 30 W/mK, andthe adhesive layer has a thermal conductivity of about 0.3 W/mK to about 0.4 W/mK.5. The electrostatic chuck as claimed in claim 4 , wherein:the adhesive layer has a thermal conductivity of about 0.4 W/mK, andthe diameter of the first hole is from about 30 to 40 times the thickness of the adhesive layer.6. The electrostatic chuck as claimed in claim 4 , wherein:the thickness of the adhesive layer is about 0.1 mm, andthe diameter of the first hole is about 4.7 mm.7. The ...

Подробнее
18-01-2018 дата публикации

AN IMPROVED SUBSTRATE SUPPORT

Номер: US20180016677A1
Принадлежит:

An apparatus for processing substrates is described. More particularly, embodiments of the present disclosure relate to an improved substrate support for heating and cooling substrates using turbulent flow during processing. By creating a turbulent flow within the channels, a greater amount of heat is transferred in a shorter period of time. The present design is cost effective and advantageously provides for a more uniform distribution of temperature transfer. In one embodiment, a substrate support assembly is disclosed. The substrate support assembly includes a electrostatic chuck with a surface that is in contact with a substrate and a support plate adjacent the electrostatic chuck. The support plate includes one or more channels, one or more end spaces, and one or more plugs. The substrate support assembly also includes a shaft coupled to the support plate. 1. A substrate support assembly comprising:an electrostatic chuck; one or more channels disposed within the support plate;', 'one or more end spaces disposed within the one or more channels; and', 'one or more plugs; and, 'a support plate coupled to the electrostatic chuck comprisinga shaft coupled to the support plate.2. The substrate support assembly of claim 1 , wherein the shaft comprises a plurality of connections disposed within the shaft.3. The substrate support assembly of claim 1 , further comprising one or more end plugs adjacent to the end spaces.4. The substrate support assembly of claim 1 , wherein the one or more plugs are disposed within the one or more channels.5. The substrate support assembly of claim 1 , wherein the one or more channels are disposed in a zig-zag pattern.6. The substrate support assembly of claim 1 , wherein the support plate further comprises one or more channel openings disposed near a center of the support plate.7. The substrate support assembly of claim 1 , further comprising a connecting plate disposed between the support plate and the shaft.8. A support plate adjacent ...

Подробнее
21-01-2016 дата публикации

Plasma generation device, method of controlling characteristic of plasma, and substrate processing device using same

Номер: US20160020073A1
Принадлежит: PSK Inc

Provided are a plasma generation device, a method of controlling a characteristic of plasma, and a substrate processing device using the same. The plasma generation device includes a first radio frequency (RF) power supply supplying a first RF signal; a chamber supplying a space in which plasma is generated; a plasma source installed at the chamber, wherein the plasma source receives the first RF signal and generates plasma; a second RF power supply supplying a second RF signal; a direct current (DC) bias power supply supplying a DC bias signal; and an electrode arranged in the chamber, wherein the electrode receives an overlap signal obtained by overlapping the second RF signal and the DC bias signal and controls a characteristic of the plasma.

Подробнее
21-01-2016 дата публикации

MULTI-RANGE VOLTAGE SENSOR AND METHOD FOR A VOLTAGE CONTROLLED INTERFACE OF A PLASMA PROCESSING SYSTEM

Номер: US20160020075A1
Автор: Lemson Gary M.
Принадлежит:

A voltage sensor for a voltage controlled interface of a plasma processing system. The voltage sensor receives a RF signal generated by a pickup device. The RF signal is indicative of a RF voltage provided at a substrate in a plasma chamber. The voltage sensor includes first and second dividers corresponding to first and second channels and having first and second capacitance ratios. The dividers receive the RF signal and respectively generate first and second reduced voltage signals. A first output of the first channel outputs a first output signal based on the first reduced voltage signal and while the RF signal is in a first voltage range. A second output of the second channel outputs a second output signal based on the second reduced voltage signal and while the RF signal is in a second voltage range. 1. A voltage sensor configured for a voltage controlled interface of a plasma processing system , wherein the voltage sensor is configured to receive a radio frequency (RF) signal generated by a pickup device , and wherein the received RF signal is indicative of a RF voltage provided at a substrate in a plasma chamber , the voltage sensor comprising:a first divider corresponding to a first channel and having a first capacitance ratio, wherein the first divider is configured to receive the RF signal generated by the pickup device, wherein the first divider generates a first reduced voltage signal;a second divider corresponding to a second channel and having a second capacitance ratio, wherein the second divider is configured to receive the RF signal generated by the pickup device, and wherein the second divider generates a second reduced voltage signal;a first output of the first channel configured to output a first output signal based on the first reduced voltage signal and while the received RF signal is in a first voltage range; anda second output of the second channel configured to output a second output signal based on the second reduced voltage signal and ...

Подробнее
19-01-2017 дата публикации

USE OF SINTERED NANOGRAINED YTTRIUM-BASED CERAMICS AS ETCH CHAMBER COMPONENTS

Номер: US20170018408A1
Принадлежит:

In accordance with this disclosure, there are provided several inventions, including an apparatus and method for creating a plasma resistant part, which may be formed of a sintered nanocrystalline ceramic material comprising yttrium, oxide, and fluoride. Example parts thus made may include windows, edge rings, or injectors. In one configuration, the parts may be yttria co-sintered with alumina, which may be transparent. 1. A plasma resistant part adapted for use in a plasma processing chamber which is configured to produce a plasma while in an operating mode , wherein the part comprises a plasma-facing surface configured to face the plasma when the plasma chamber is in the operating mode , wherein the surface is formed of a sintered nanocrystalline ceramic material comprising yttrium in addition to oxide and/or fluoride.2. The plasma resistant part of claim 1 , wherein the ceramic material comprises YO.3. The plasma resistant part of claim 1 , wherein the ceramic material comprises YFor YOF.4. The plasma resistant part of claim 1 , wherein the part is an edge ring.5. The plasma resistant part of claim 1 , wherein the part is a gas injector.6. The plasma resistant part of claim 1 , further comprising a first layer and a second layer that are co-sintered together claim 1 , and wherein the plasma-facing surface is part of the second layer claim 1 , and the second layer is a nanocrystalline ceramic material.7. The plasma resistant part of claim 6 , wherein the first layer is a microcrystalline ceramic material.8. The plasma resistant part of claim 7 , wherein the first layer comprises alumina.9. The plasma resistant part of claim 7 , wherein the plasma resistant part is a window.10. A plasma processing apparatus comprising the plasma resistant part of claim 1 , further comprising:the plasma processing chamber; anda substrate support,wherein the plasma resistant part is situated in the plasma processing chamber, such that its plasma-facing surface faces the plasma when ...

Подробнее
19-01-2017 дата публикации

EXTREME EDGE SHEATH AND WAFER PROFILE TUNING THROUGH EDGE-LOCALIZED ION TRAJECTORY CONTROL AND PLASMA OPERATION

Номер: US20170018411A1
Принадлежит:

An edge ring assembly for a plasma processing chamber is provided, including: an edge ring configured to surround an electrostatic chuck (ESC) that is configured for electrical connection to a first RF power supply, the ESC having a top surface for supporting a substrate and an annular step surrounding the top surface, the annular step defining an annular shelf that is lower than the top surface; an annular electrode disposed below the edge ring in the annular step and above the annular shelf; a dielectric ring disposed below the annular electrode for isolating the annular electrode from the ESC, the dielectric ring positioned in the annular step over the annular shelf; and, a plurality of insulated connectors disposed through the ESC and through the dielectric ring, each of the plurality of insulated connectors providing electrical connection between a second RF power supply and the annular electrode. 1. An edge ring assembly for a plasma processing chamber , comprising:an edge ring configured to surround an electrostatic chuck (ESC) that is configured for electrical connection to a first RF power supply, the ESC having a top surface for supporting a substrate and an annular step surrounding the top surface, the annular step defining an annular shelf that is lower than the top surface;an annular electrode disposed below the edge ring and above the annular shelf;a dielectric ring disposed below the annular electrode for isolating the annular electrode from the ESC, the dielectric ring positioned over the annular shelf; anda plurality of insulated connectors disposed through the ESC and through the dielectric ring, each of the plurality of insulated connectors providing electrical connection between a second RF power supply and the annular electrode.2. The edge ring assembly of claim 1 , wherein each of the plurality of insulated connectors is defined by a coaxial connector.3. The edge ring assembly of claim 1 , wherein the plurality of insulated connectors are ...

Подробнее
19-01-2017 дата публикации

Sputtering Apparatus

Номер: US20170018412A1
Принадлежит: Sakai Display Products Corporation

There is provided a sputtering apparatus in which a holding body holding a substrate by facing a target in a processing chamber is covered by a deposition preventive plate including a substrate retainer for covering a peripheral edge part of the substrate, and a thin film made of metal is deposited on a surface of the substrate exposed to an inside of the deposition preventive plate. A stopper protrusion protrudes at a portion in which the holding body and the deposition preventive plate face each other from one part to the other part, and face the holding body or the deposition preventive plate at a smaller interval than the interval between the deposition preventive plate and the substrate retainer. When the deposition preventive plate and the holding body are thermally deformed to approach each other during film deposition processing, the stopper protrusion contacts with the deposition preventive plate or the holding body, and the deposition preventive plate and the holding body contact with each other, such that, peeling-off a metal film at a contact portion and mixing in a film deposition region of the substrate are prevented. 16-. (canceled)7. A sputtering apparatus , comprising:a processing chamber in which a target is disposed;a holding body which retains a peripheral edge part of a substrate by a substrate retainer to hold the substrate; anda deposition preventive plate which covers the holding body including the substrate retainer within the processing chamber,the sputtering apparatus being configured to deposit a metal film on a surface of the substrate which is exposed to an inside of the deposition preventive plate to face the target, by sputtering the target within the processing chamber,the sputtering apparatus further comprising:a stopper protrusion which protrudes, at a portion in which the deposition preventive plate and the holding body face each other, from one part selected from the group consisting of the deposition preventive plate and the ...

Подробнее
03-02-2022 дата публикации

SHOWER PLATE, PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20220037117A1
Принадлежит:

In a shower plate, a plasma processing apparatus, and a plasma processing method, improvement of in-plane uniformity of plasma on a stage is required. The shower plate according to an exemplary embodiment includes an upper dielectric disposed to face a stage and an upper electrode embedded in the upper dielectric. A distance between a bottom surface of the upper dielectric and the upper electrode is shorter in a peripheral portion than in a central portion. 17-. (canceled)8. A shower plate comprising:an upper dielectric disposed to face a stage; andan upper electrode embedded in the upper dielectric,wherein a distance between a bottom surface of the upper dielectric and the upper electrode is shorter in a peripheral portion of the shower plate than in a central portion of the shower plate.9. The shower plate of claim 8 , wherein the upper electrode includes two or more conductive layers.10. The shower plate of claim 9 , wherein the upper dielectric includes gas ejection holes.11. The shower plate of claim 10 , wherein a radio frequency voltage of 30 to 300 MHz is12. The shower plate of claim 8 , wherein the upper dielectric includes gas ejection holes.13. The shower plate of claim 8 , wherein a radio frequency voltage of 30 to 300 MHz is applied to the upper electrode.14. A plasma processing apparatus comprising a shower plate that comprises an upper dielectric disposed to face a stage and an upper electrode embedded in the upper dielectric claim 8 ,wherein a distance between a bottom surface of the upper dielectric and the upper electrode is shorter in a peripheral portion of the shower plate than in a central portion of the shower plate, a lower dielectric disposed to face the upper dielectric; and', 'a lower electrode embedded in the lower dielectric, and, 'wherein the stage includes a processing container configured to accommodate the shower plate and the stage; and', 'a radio frequency power supply configured to generate plasma in the processing container., ' ...

Подробнее
03-02-2022 дата публикации

Pulsed-voltage hardware assembly for use in a plasma processing system

Номер: US20220037120A1
Принадлежит: Applied Materials Inc

Embodiments of the disclosure provided herein include an apparatus and method for the plasma processing of a substrate in a processing chamber. More specifically, embodiments of this disclosure describe a biasing scheme that is configured to provide a radio frequency (RF) generated RF waveform from an RF generator to one or more electrodes within a processing chamber and a pulsed-voltage (PV) waveform delivered from one or more pulsed-voltage (PV) generators to the one or more electrodes within the processing chamber. The plasma process(es) disclosed herein can be used to control the shape of an ion energy distribution function (IEDF) and the interaction of the plasma with a surface of a substrate during plasma processing.

Подробнее
03-02-2022 дата публикации

PLASMA PROCESSING USING PULSED-VOLTAGE AND RADIO-FREQUENCY POWER

Номер: US20220037121A1
Принадлежит:

Embodiments of the disclosure provided herein include an apparatus and method for the plasma processing of a substrate in a processing chamber. More specifically, embodiments of this disclosure describe a biasing scheme that is configured to provide a radio frequency (RF) generated RF waveform from an RF generator to one or more electrodes within a processing chamber and a pulsed-voltage (PV) waveform delivered from one or more pulsed-voltage (PV) generators to the one or more electrodes within the processing chamber. The plasma process(es) disclosed herein can be used to control the shape of an ion energy distribution function (IEDF) and the interaction of the plasma with a surface of a substrate during plasma processing. 1. A method of processing of a substrate in a plasma processing chamber , comprising:delivering, by use of a radio frequency generator, a radio frequency signal to a support base disposed within a substrate support assembly, wherein the radio frequency generator is electrically coupled to the support base through a pulsed voltage filter assembly; andestablishing, by use of a first pulsed-voltage waveform generator, a first pulsed voltage waveform at a biasing electrode disposed within the substrate support assembly, wherein the first pulsed-voltage waveform generator is electrically coupled to the biasing electrode through a first radio frequency filter assembly, the biasing electrode is disposed between the support base and a substrate supporting surface of the substrate support assembly,', 'a first dielectric layer is disposed between the support base and the biasing electrode, and', 'a second dielectric layer is disposed between the biasing electrode and the substrate supporting surface., 'wherein'}2. The method of claim 1 , wherein the first pulsed voltage waveform comprises a series of repeating cycles claim 1 , such thata waveform within each cycle has a first portion that occurs during a first time interval and a second portion that occurs ...

Подробнее
03-02-2022 дата публикации

Plasma processing apparatus and plasma processing method

Номер: US20220037124A1
Принадлежит: Tokyo Electron Ltd

A plasma processing apparatus for generating plasma from a processing gas using microwaves and performing plasma processing on a substrate is provided. The apparatus includes a processing chamber having a substrate support on which the substrate is placed; a plurality of microwave radiation units arranged at a central portion and an outer peripheral portion of a ceiling wall of the processing chamber and configured to radiate microwaves; and a controller configured to complete microwave radiation from the microwave radiation unit in the central portion upon completion of plasma processing of the substrate and then complete microwave radiation from the microwave radiation units in the outer peripheral portion.

Подробнее
03-02-2022 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20220037129A1
Автор: KOSHIMIZU Chishio
Принадлежит: TOKYO ELECTRON LIMITED

A disclosed plasma processing apparatus includes a chamber, a substrate support, a radio frequency power source, and a bias power source. The radio frequency power source supplies radio frequency power. The bias power source supplies an electric to a bias electrode. An edge ring receives a part of the electric bias or another electric bias. An outer ring extends outside the edge ring in a radial direction and receives a part of the radio frequency power. A level of the radio frequency power is changed in synchronization with the electric bias within each cycle of the electric bias. 1. A plasma processing apparatus comprising:a chamber;a substrate support having a bias electrode;a radio frequency power source configured to generate radio frequency power that is supplied to a radio frequency electrode to generate plasma above a substrate supported by the substrate support in the chamber; anda bias power source connected to the bias electrode through an electrical path,wherein an edge ring that is mounted on the substrate support is electrically connected to the bias power source through an impedance adjuster that provides variable impedance between the bias electrode and the edge ring or between the electrical path and the edge ring, or is electrically connected to an other bias power source,an outer ring extending outside the edge ring in a radial direction is electrically connected to the radio frequency power source to receive a part of the radio frequency power, andthe radio frequency power source is configured to change a power level of the radio frequency power in synchronization with an electric bias that is output from the bias power source to the bias electrode, within each cycle of the electric bias.2. The plasma processing apparatus according to claim 1 , further comprising:a first electrode that is electrically coupled to the edge ring; anda second electrode that is electrically coupled to the outer ring,wherein the impedance adjuster provides variable ...

Подробнее
03-02-2022 дата публикации

GLASS PALLET FOR SPUTTERING SYSTEMS

Номер: US20220037130A1
Принадлежит:

Pallets for transporting one or more glass substrates in a substantially vertical orientation through a sputtering system. In some cases, a pallet comprising a frame with an aperture and an adjustable grid array within the aperture. The adjustable grid array is configurable to hold a plurality of glass substrates of different shapes and/or sizes. In one case, the adjustable grid array comprises a system of vertical and horizontal support bars, wherein the vertical support bars configured to both support the plurality of glass substrates at their vertical edges, wherein the horizontal support bars are configured to support the plurality of glass substrates at their horizontal edges, wherein the ends of the horizontal support bars are slideably engaged with the vertical support bars. 163-. (canceled)64. A pallet for transporting at least one rectangular glass substrate through a sputtering apparatus , the pallet comprising:a frame; andan aperture in the frame; andwherein the pallet is configured to hold the at least one glass substrate by at least two edges, and in a substantially vertical orientation during transport through the sputtering apparatus,wherein the at least one rectangular glass substrate has dimensions of between 60 inches and 130 inches along one side and between 72 inches and 205 inches along an other side, and wherein the at least one rectangular glass substrate has a thickness of between 2 mm and 20 mm.65. The pallet of claim 64 , further comprising an electrically conductive component configured to establish electrical communication with an electrically conductive coating on a work surface of the at least one rectangular glass substrate.66. The pallet of claim 65 , wherein the electrically conductive component comprises one or more springs.67. The pallet of claim 64 , wherein the pallet is configured to maintain its temperature to within +5° C. of the temperature of the at least one rectangular glass substrate during sputtering.68. The pallet of ...

Подробнее
03-02-2022 дата публикации

METHOD OF CLEANING PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING APPARATUS

Номер: US20220037133A1
Принадлежит:

A method of cleaning a plasma processing apparatus includes: disposing a first dummy substrate at a first position with respect to a stage inside a chamber and performing a first dry cleaning process inside the chamber; and disposing a second dummy substrate at a second position with respect to the stage inside the chamber and performing a second dry cleaning process inside the chamber, wherein each of a center of the first position and a center of the second position is located at a different position from a center of the stage in a plan view, and wherein the first position and the second position are different from each other in a plan view. 1. A method of cleaning a plasma processing apparatus , the method comprising:disposing a first dummy substrate at a first position with respect to a stage inside a chamber and performing a first dry cleaning process inside the chamber; anddisposing a second dummy substrate at a second position with respect to the stage inside the chamber and performing a second dry cleaning process inside the chamber,wherein each of a center of the first position and a center of the second position is located at a different position from a center of the stage in a plan view, andwherein the first position and the second position are different from each other in a plan view.2. The method of claim 1 , wherein at least the first dry cleaning process or the second dry cleaning process is performed in a state in which the first dummy substrate or the second dummy substrate is supported by a lifter and spaced apart from the stage.3. The method of claim 2 , wherein at least in the first dry cleaning process or the second dry cleaning process claim 2 , a distance between a back surface of the first dummy substrate or a back surface of the second dummy substrate and a front surface of the stage is 2 mm or less.4. The method of claim 3 , wherein the stage includes an electrostatic chuck configured to hold the first dummy substrate or the second dummy ...

Подробнее
21-01-2021 дата публикации

Variable mode plasma chamber utilizing tunable plasma potential

Номер: US20210020411A1

Plasma processing apparatus and associated methods are provided. In one example, a plasma processing apparatus can include a plasma chamber configured to be able to hold a plasma. The plasma processing apparatus can include a dielectric window forming at least a portion of a wall of the plasma chamber. The plasma processing apparatus can include an inductive coupling element located proximate the dielectric window. The inductive coupling element can be configured to generate a plasma from the process gas in the plasma chamber when energized with radio frequency (RF) energy. The plasma processing apparatus can include a processing chamber having a workpiece support configured to support a workpiece. The plasma processing apparatus can include an electrostatic shield located between the inductive coupling element and the dielectric window. The electrostatic shield can be grounded via a tunable reactive impedance circuit to a ground reference.

Подробнее
21-01-2021 дата публикации

Method for Reducing Residual Micro-Particles on Wafer Surfaces

Номер: US20210020466A1

A method for reducing residual micro-particles on wafer surfaces includes: providing a plasma reaction etching chamber and wafer in it, a semiconductor structure is arranged on the wafer, and the first metal layer is etched; then, forming a polymer shield layer on the surface of the wafer; afterwards, implanting a plasma source into the plasma reaction etching chamber to remove charges on the surface of the wafer; finally, stopping the implantation of the plasma source, and keeping the wafer standing. According to the method, a polymer gas source is deposited to form a shield layer on the surface of the wafer in the subsequent process, a macromolecular gas source is used in the subsequent electrostatic eliminating process to eliminate static electricity, so that particles are adsorbed and carried out of the etching chamber, and particle adhesion to the wafer is avoided in the charge removal process. 1. A method for reducing residual micro-particles on wafer surfaces , at least including the following steps:{'b': '1', 'Step : providing a plasma reaction etching chamber and a wafer located in the plasma reaction etching chamber, wherein the wafer is provided with a semiconductor structure which is in a process condition where a trench of a first metal layer is etched;'}{'b': '2', 'Step : forming a polymer shield layer on a surface of the wafer;'}{'b': '3', 'Step : implanting a plasma source into the plasma reaction etching chamber to remove charges on the surface of the wafer; and'}{'b': '4', 'Step : stopping the implantation of the plasma source, and keeping the wafer standing.'}21. The method for reducing residual micro-particles on wafer surfaces according to claim 1 , wherein the first metal layer of the semiconductor structure is etched in Step with a barrier layer containing TiN.3. The method for reducing residual micro-particles on wafer surfaces according to claim 2 , wherein the first metal layer of the semiconductor structure is etched as follows: 1 claim 2 ...

Подробнее
21-01-2021 дата публикации

METHOD AND APPARATUS FOR PLASMA DICING A SEMI-CONDUCTOR WAFER

Номер: US20210020513A1
Принадлежит: Plasma-Therm LLC

The present invention provides a method for plasma dicing a substrate. The substrate is provided with a top surface and a bottom surface, the top surface of the substrate having a plurality of street areas and at least one device structure. The substrate is placed onto a support film on a frame to form a work piece. A process chamber having a plasma source is provided. A work piece support is provided within the plasma process chamber. The work piece is placed onto the work piece support. A plasma is generated from the plasma source in the plasma process chamber. The work piece is processed using the generated plasma and a byproduct generated from the support film while the support film is exposed to the generated plasma. 1. A method of dicing a substrate , the method comprising:providing a plasma process chamber having a plasma source;providing a work piece support within the plasma process chamber;providing the substrate;placing the substrate onto a support film on a frame to form a work piece;placing the work piece onto said work piece support;generating a plasma from the plasma source in the plasma process chamber;exposing at least a portion of the support film that is not overlapped by the substrate to the generated plasma;generating a byproduct from the exposed portion of the support film; andprocessing the work piece using the generated plasma and the byproduct generated from the exposed portion of the support film.2. The method according to wherein the substrate further comprising a compound semiconductor.3. The method according to further comprising monitoring a change in a composition of the support film during the exposure of the support film to the generated plasma.4. The method according to further comprising modifying the processing of the work piece based on the composition of the support film.5. The method according to wherein the substrate is adhered to the support film.6. The method according to wherein the support film further comprising a carbon ...

Подробнее
10-02-2022 дата публикации

INTEGRATED CIRCUIT STRUCTURES INCLUDING A METAL LAYER FORMED USING A BEAM OF LOW ENERGY ATOMS

Номер: US20220042162A1
Принадлежит:

Systems and approaches for fabricating an integrated circuit structure including a metal layer formed using a beam of low energy atoms are described. In an example, a system for fabricating an integrated circuit structure includes a sample holder for supporting a 300 mm wafer facing down, the substrate having a feature thereon. The system also includes a source for providing a beam of low energy metal atoms to form a metal layer on the feature of the substrate. The system also includes a source of gas atoms for controlling the texture of the layer 1. A system for fabricating an integrated circuit structure , the system comprising:a sample holder for supporting a substrate wafer facing down, the substrate having a feature thereon;a source for providing a beam of low energy metal atoms to form a metal layer on the feature of the substrate;a source of gas atoms with energy 50-800 eV, the source of gas atoms for removing weakly held metal atoms from the feature.2. The system of claim 1 , wherein the source for providing the beam of low energy metal atoms and the source of gas atoms are operated simultaneously.3. The system of claim 1 , wherein the source for providing the beam of low energy metal atoms and the source of gas atoms are operated alternately.4. The system of claim 1 , wherein the beam of low energy metal atoms has an energy of approximately 0.1 eV claim 1 , wherein the beam of low energy metal atoms has a linear shape claim 1 , and wherein a metal source of the metal layer is continuously supplied to the source for providing the beam of low energy metal atoms.5. The system of claim 1 , wherein the feature is a source/drain contact trench exposing a semiconductor source/drain structure claim 1 , and wherein the metal layer is a conductive contact layer for the semiconductor source/drain structure.6. The system of claim 1 , wherein the feature is a conductive line of a back end-of-line (BEOL) metallization layer claim 1 , and wherein the metal layer is ...

Подробнее
26-01-2017 дата публикации

Plasma processing apparatus

Номер: US20170025255A1
Принадлежит: Hitachi High Technologies Corp

A sample stage includes a metallic electrode block to which high-frequency power is supplied from a high-frequency power supply, a dielectric heat generation layer which is disposed on a top surface of the electrode block and in which a film-like heater receiving power and generating heat is disposed, a conductor layer which is disposed to cover the heat generation layer, a ring-like conductive layer which is disposed to surround the heat generation layer at an outer circumferential side of the heat generation layer and contacts the conductor layer and the electrode block, and an electrostatic adsorption layer which is disposed to cover the conductor layer and electrostatically adsorbs a sample. The conductor layer and the ring-like conductive layer have dimensions more than a skin depth of a current of the high-frequency power and the electrode block is maintained at a predetermined potential during processing of the sample.

Подробнее
26-01-2017 дата публикации

PLASMA PROCESSING APPARATUS

Номер: US20170025256A1
Автор: KUWABARA Yusei, SASAKI Ryo
Принадлежит: TOKYO ELECTRON LIMITED

A plasma processing apparatus includes: a chamber; a placing table; an exhaust path provided around the placing table to surround the placing table, and configured to exhaust a gas within a processing space above the semiconductor wafer placed on the placing table; an exhaust device configured to exhaust the gas within the processing space through the exhaust path; a baffle plate having a plurality of through holes and provided between the processing space and the exhaust path to surround the placing table; and a rectifying plate provided around the placing table to surround the placing table within the exhaust path at a position farther from the processing space than the baffle plate, and foil ling an opening within the exhaust path to make a sectional area of a flow path within the exhaust path larger at a position farther from a position within the exhaust path connected to the exhaust device. 1. A plasma processing apparatus comprising:a chamber that is air-tightly configured such that a predetermined processing is performed on a substrate to be processed (“substrate”) carried into the chamber by plasma generated within the chamber;a placing table provided within the chamber and configured to place the substrate thereon;an exhaust path provided around the placing table to surround the placing table, and configured to exhaust a gas within a processing space above the substrate placed on the placing table;an exhaust device connected to the exhaust path and configured to exhaust the gas within the processing space through the exhaust path;a baffle plate having a plurality of through holes and provided between the processing space and the exhaust path to surround the placing table; anda rectifying plate provided around the placing table to surround the placing table within the exhaust path at a position farther from the processing space than the baffle plate,wherein the rectifying plate forms an opening within the exhaust path around the placing table to make a ...

Подробнее
26-01-2017 дата публикации

PLASMA PROCESSING APPARATUS AND PLASMA PROCESSING METHOD

Номер: US20170025289A1
Принадлежит: HITACHI HIGH-TECHNOLOGIES CORPORATION

The present invention provides a plasma processing apparatus having a radio frequency power supply supplying time-modulated radio frequency power which is controllable widely with high precision, and a plasma processing method using the plasma processing apparatus. The plasma processing apparatus includes: a vacuum chamber; a first radio frequency power supply for generating plasma in the vacuum chamber; a sample holder disposed in the vacuum chamber, on which a sample is placed; and a second radio frequency power supply supplying radio frequency power to the sample holder, wherein at least one of the first radio frequency power supply and the second radio frequency power supply supplies time-modulated radio frequency power, one of parameters of controlling the time-modulation has two or more different control ranges, and one of the control ranges is a control range for a high-precision control. 1. A plasma processing apparatus , comprising:a vacuum chamber in which a sample is plasma treated;a first radio frequency power supply supplying a first radio frequency power to generate plasma in the vacuum chamber;a sample holder on which the sample is placed;a second radio frequency power supply supplying a second radio frequency power to the sample holder,a D/A converter converting a digital signal into an analog signal; andan A/D converter converting an analog signal into a digital signal;wherein the D/A converter converts a set parameter for time modulation of the first radio frequency power into a first analog value corresponding to the set parameter and being a value of a first control range and a second analog value corresponding to the set parameter and being a value of a second control range wider than the first control range;a signal processing unit configured to select a first digital value that the first analog value is converted by the A/D converter or a second digital value that the second analog value is converted by the A/D converter based on a signal to ...

Подробнее
26-01-2017 дата публикации

Method and Apparatus for Plasma Dicing a Semi-conductor Wafer

Номер: US20170025311A1
Принадлежит: Plasma-Therm LLC

The present invention provides a method for plasma dicing a substrate. The method comprising providing a process chamber having a wall; providing a plasma source adjacent to the wall of the process chamber; providing a work piece support within the process chamber; placing the substrate onto a support film on a frame to form a work piece work piece; loading the work piece onto the work piece support; providing a clamping electrode for electrostatically clamping the work piece to the work piece support; providing a mechanical partition between the plasma source and the work piece; generating a plasma through the plasma source; and etching the work piece through the generated plasma. 1. A method for plasma dicing a substrate , the method comprising:providing a process chamber having a wall;providing a plasma source adjacent to the wall of the process chamber;providing a work piece support within the process chamber;providing an electrostatic chuck within said work piece support, said electrostatic chuck having a seal band, and at least one clamping electrode;placing a work piece onto said work piece support, said work piece having a support film, a frame and the substrate, wherein the substrate does not overlap said seal band, and wherein the support film overlaps said seal band;generating a plasma using the plasma source; andetching said work piece using the generated plasma while said work piece is electrostatically clamped and while a portion of the support film outside a periphery of the substrate is electrostatically clamped.2. The method according to further comprising said clamping electrode overlapping a portion of the substrate.3. The method according to further comprising said clamping electrode completely overlapping the substrate.4. The method according to further comprising said clamping electrode overlapping a portion of said seal band.5. The method according to further comprising said clamping electrode completely overlapping said seal band.6. The ...

Подробнее
28-01-2016 дата публикации

System and method for selective coil excitation in inductively coupled plasma processing reactors

Номер: US20160027616A1
Принадлежит: Applied Materials Inc

Spatial distribution of RF power delivered to plasma in a processing chamber is controlled using an arrangement of primary and secondary inductors, wherein the current through the secondary inductors affects the spatial distribution of the plasma. The secondary inductors are configured to resonate at respectively different frequencies. A first secondary inductor is selectively excited to resonance, during a first time period within a duty cycle, by delivering power to a primary inductor at the resonant frequency of the first secondary inductor. A second secondary inductor is selectively excited to resonance, during a second time period within a duty cycle, by delivering power to a primary inductor at the resonant frequency of the second secondary inductor. The secondary inductors are isolated from one another and terminated such that substantially all current that passes through them and into the plasma results from mutual inductance with a primary inductor.

Подробнее
28-01-2016 дата публикации

Method and apparatus for esc charge control for wafer clamping

Номер: US20160027620A1
Принадлежит: Tokyo Electron Ltd

A plasma processing method and apparatus are provided in which current spikes associated with application of a voltage to an electrostatic chuck (ESC) are minimized or reduced when the processing plasma is present. According to an example, the voltage is applied to the ESC after the processing plasma is struck, however the voltage is ramped or increased in a step-wise manner to achieve the desired final ESC voltage. In an alternate embodiment, the ESC voltage is at least partially applied before striking of the plasma for processing the wafer. By reducing current spikes associated with application of the voltage to the ESC during the presence of the processing plasma, transfer or deposition of particles on the wafer can be reduced.

Подробнее
25-01-2018 дата публикации

SYSTEMS AND METHODS FOR ACHIEVING A PRE-DETERMINED FACTOR ASSOCIATED WITH AN EDGE REGION WITHIN A PLASMA CHAMBER BY SYNCHRONIZING MAIN AND EDGE RF GENERATORS

Номер: US20180025891A1
Принадлежит:

Systems and methods for achieving a pre-determined factor associated with the edge region within the plasma chamber is described. One of the methods includes providing an RF signal to a main electrode within the plasma chamber. The RF signal is generated based on a frequency of operation of a first RF generator. The method further includes providing another RF signal to an edge electrode within the plasma chamber. The other RF signal is generated based on the frequency of operation of the first RF generator. The method includes receiving a first measurement of a variable, receiving a second measurement of the variable, and modifying a phase of the other RF signal based on the first measurement and the second measurement. The method includes changing a magnitude of a variable associated with a second RF generator to achieve the pre-determined factor. 1. A method for achieving a pre-determined factor associated with an edge region within a plasma chamber , comprising:providing a radio frequency (RF) signal via a first impedance matching circuit to a main electrode within the plasma chamber, wherein the RF signal is generated based on a frequency of operation of a first RF generator;providing another RF signal via a second impedance matching circuit to an edge electrode within the plasma chamber, wherein the other RF signal is generated based on the frequency of operation of the first RF generator;receiving a first measurement of a variable associated with an output of the first impedance matching circuit;receiving a second measurement of the variable associated with an output of the second impedance matching circuit;modifying a phase of the other RF signal based on the first measurement and the second measurement; andchanging a magnitude of a variable associated with a second RF generator to achieve the pre-determined factor.2. The method of claim 1 , wherein changing the magnitude is performed after the other RF signal is generated and after the phase of the other RF ...

Подробнее
28-01-2021 дата публикации

ELECTROSTATIC CHUCK FOR DAMAGE-FREE SUBSTRATE PROCESSING

Номер: US20210025056A1
Принадлежит:

Embodiments of the disclosure relate to an improved electrostatic chuck for use in a processing chamber to fabricate semiconductor devices. In one embodiment, a processing chamber includes a chamber body having a processing volume defined therein and an electrostatic chuck disposed within the processing volume. The electrostatic chuck includes a support surface with a plurality of mesas located thereon, one or more electrodes disposed within the electrostatic chuck, and a seasoning layer deposited on the support surface over the plurality of mesas. The support surface is made from an aluminum containing material. The one or more electrodes are configured to form electrostatic charges to electrostatically secure a substrate to the support surface. The seasoning layer is configured to provide cushioning support to the substrate when the substrate is electrostatically secured to the support surface. 1. A processing chamber apparatus , comprising:a chamber body defining a processing volume therein; and a support surface made from an aluminum containing material, the support surface having a plurality of mesas disposed thereon;', 'one or more electrodes disposed within the electrostatic chuck; and', 'a seasoning layer deposited on the support surface and extending over the plurality of mesas, wherein the seasoning layer is doped with carbon., 'an electrostatic chuck disposed within the processing volume, the electrostatic chuck comprising2. The apparatus of claim 1 , wherein the seasoning layer comprises:one or more of a silicon nitride material, a silicon carbon nitride material, a silicon oxycarbide material, a silicon oxide material, and a nitrogen-doped carbon material.3. The apparatus of claim 2 , wherein a dielectric constant of the seasoning layer is between 3 and 12.4. The apparatus of claim 1 , wherein the seasoning layer has a thickness between 100 nm and 20 microns.5. The apparatus of claim 1 , wherein each of the plurality of mesas has a surface roughness of ...

Подробнее
28-01-2021 дата публикации

APPARATUS FOR PROCESSING SUBSTRATE

Номер: US20210025060A1
Автор: TABATA Masahiro
Принадлежит: TOKYO ELECTRON LIMITED

An apparatus for processing a substrate is provided. The apparatus includes a processing apparatus and a controller. The processing apparatus includes a chamber. The controller includes a memory and a processor coupled to the memory. The memory stores computer-executable instructions for controlling the processor to control a process of the processing apparatus. The process includes first forming a first film in a first region of the substrate in the chamber by chemical vapor deposition. The process further includes second forming a second film in a second region of the substrate in the chamber by atomic layer deposition. The first forming and the second forming are performed without moving the substrate out of the chamber. 1. An apparatus for processing a substrate , the apparatus comprising:a chamber; anda controller comprising a memory and a processor coupled to the memory, wherein first forming, by chemical vapor deposition and by using a fluorocarbon plasma generated from a gas containing carbon, a first film in a first region of the substrate in a chamber of a processing apparatus, and', 'second forming, by introducing a precursor of material adsorbed with the substrate into the chamber, introducing modifying gas into the chamber, and generating plasma from the modifying gas, a second film in a second region of the substrate in the chamber, wherein, 'the memory stores computer-executable instructions for controlling the processor to perform a process comprisingthe first forming and the second forming are performed without moving the substrate out of the chamber.2. The apparatus according to claim 1 , further comprising:an antenna controlled by the controller, anda lower electrode arranged opposite to the antenna and configured to hold the substrate thereon, the lower electrode being controlled by the controller, wherein applying a voltage to the lower electrode during the chemical vapor deposition, and', 'applying a voltage to the antenna in a modification ...

Подробнее
10-02-2022 дата публикации

SUBSTRATE TREATING APPARATUS AND SUBSTRATE SUPPORT UNIT

Номер: US20220044917A1
Принадлежит:

The inventive concept relates to a substrate support unit provided in an apparatus for treating a substrate using plasma. In an embodiment, the substrate support unit includes a dielectric plate on which the substrate is placed, a lower electrode that is disposed under the dielectric plate and that has a first diameter, a power supply rod that applies RF power to the lower electrode and has a second diameter, and a ground member disposed under the lower electrode and spaced apart from the lower electrode by a first gap by an insulating member, the ground member including a plate portion having a through-hole formed therein through which the power supply rod passes, in which the through-hole has a third diameter. 1. A substrate support unit provided in an apparatus for treating a substrate using plasma , the substrate support unit comprising:a dielectric plate on which the substrate is placed;a lower electrode disposed under the dielectric plate, the lower electrode having a first diameter;a power supply rod configured to apply RF power to the lower electrode, the power supply rod having a second diameter; anda ground member disposed under the lower electrode and spaced apart from the lower electrode by a first gap by an insulating member, the ground member including a plate portion having a through-hole formed therein through which the power supply rod passes, wherein the through-hole has a third diameter,wherein the lower electrode includes a deformation portion extending downward from a center of a lower surface of the lower electrode and having a decreasing diameter toward the bottom, and the power supply rod is coupled to an end portion of the deformation portion.2. The substrate support unit of claim 1 , wherein the ground member further includes a guide portion extending upward from an inner diameter of the through-hole by a predetermined length and spaced apart from the power supply rod by a second gap.3. The substrate support unit of claim 2 , wherein the ...

Подробнее
10-02-2022 дата публикации

SPUTTERING APPARATUS AND FILM FORMING METHOD

Номер: US20220044920A1
Принадлежит:

A sputtering apparatus includes a first target and a second target that emit sputter particles, a substrate support configured to support a substrate, and a slit plate disposed between the first and the second targets and the substrate and having a slit unit through which the sputter particles pass. The slit unit includes a first slit to the first and the second target side and a second slit to the substrate side. The second slit has a first protrusion and a second protrusion protruding toward the center of the second slit. When the slit unit is viewed from the first target, the first protrusion is hidden. When the slit unit is viewed from the second target, the second protrusion is hidden. 1. A sputtering apparatus comprising:a first target and a second target that emit sputter particles:a substrate support configured to support a substrate: anda slit plate disposed between the first and the second targets and the substrate and having a slit unit through which the sputter particles pass,wherein the slit unit includes a first slit to the first and the second target side and a second slit to the substrate side,the second slit has a first protrusion and a second protrusion protruding toward a center of the second slit,when the slit unit is viewed from the first target, the first protrusion is hidden, andwhen the slit unit is viewed from the second target, the second protrusion is hidden.2. The sputtering apparatus of claim 1 , further comprising:a substrate moving mechanism configured to move the substrate supported by the substrate support in a sliding direction,wherein the first target and the second target are arranged in the sliding direction of the substrate and inclined toward the slit unit.3. The sputtering apparatus of claim 1 , wherein the first slit limits a minimum incident angle of the sputter particles incident on the substrate from the first target and the second target claim 1 , andthe second slit limits a maximum incident angle of the sputter particles ...

Подробнее
24-01-2019 дата публикации

Lift pin assembly

Номер: US20190027394A1
Принадлежит: Applied Materials Inc

Apparatuses for substrate transfer are provided. A lift pin assembly can include a lift pin, a purge cylinder, and a lift pin guide. The lift pin guide is disposed adjacent the purge cylinder. The lift pin guide and the purge cylinder have a passage formed therethough in which the lift pin is disposed. The purge cylinder includes one or more nozzles that direct the flow of gas radially inward into a portion of the passage disposed in the purge cylinder. The one or more nozzles are disposed radially outward from the lift pin. The purge cylinder reduces particle deposition on the substrate by preventing contact between the lift pin and the support assembly as the lift pin is in motion.

Подробнее
04-02-2016 дата публикации

Deposition Tool for Combinatorial Thin Film Material Libraries

Номер: US20160030909A1
Принадлежит: Universiteit Gent

A system for combinatorial deposition of a thin layer on a substrate is described. The system comprises at least one deposition material source holder and a substrate holder. The system also comprises a rotatable positioning system for subsequently positioning the at least one substrate in parallel and in non-parallel configuration with at least one deposition material source. The system comprises at least one mask holder arranged for positioning a mask between at least one of the target holder and the positioning system, for allowing variation of the material flux across the at least one substrate when the combinatorial deposition is performed. The mask holder is in a fixed arrangement with respect to the at least one deposition material source holder during the combinatorial depositing. 116-. (canceled)17. A system for combinatorial depositing of a thin film having a varying composition and/or a varying thickness on at least one substrate , the system comprisingat least one deposition material source holder arranged so as to carry a deposition material source,a substrate holder adapted for carrying at least one substrate,a rotatable positioning system having a rotation axis for relatively moving the substrate holder and the at least one deposition material source holder with respect to each other for subsequently positioning the at least one substrate in a parallel configuration in front of at least one of the at least one deposition material source and in a non-parallel configuration non parallel with the at least one of the at least one deposition material source during the combinatorial deposition, andat least one mask holder arranged for positioning a mask between at least one of the at least one deposition material source holder and the substrate holder, for allowing variation of the material flux across the at least one substrate when the combinatorial deposition is performed, and the at least one mask holder being in a fixed arrangement with respect to the ...

Подробнее
23-01-2020 дата публикации

Apparatuses and methods for avoiding electrical breakdown from rf terminal to adjacent non-rf terminal

Номер: US20200027700A1
Принадлежит: Lam Research Corp

An isolation system includes an input junction coupled to one or more RF power supplies via a match network for receiving radio frequency (RF) power. The isolation system further includes a plurality of channel paths connected to the input junction for distributing the RF power among the channel paths. The isolation system includes an output junction connected between each of the channel paths and to an electrode of a plasma chamber for receiving portions of the distributed RF power to output combined power and providing the combined RF power to the electrode. Each of the channel paths includes bottom and top capacitors for blocking a signal of the different type than that of the RF power. The isolation system avoids a risk of electrical arcing created by a voltage difference between an RF terminal and a non-RF terminal when the terminals are placed proximate to each other.

Подробнее
23-01-2020 дата публикации

RF GENERATOR FOR GENERATING A MODULATED FREQUENCY OR AN INTER-MODULATED FREQUENCY

Номер: US20200027701A1
Принадлежит:

A high frequency radio frequency (RF) generator that generates a high frequency RF signal is described. There is no need for another low frequency RF generator that generates a low frequency RF signal. A low frequency RF signal is pre-amplified within the high frequency RF generator to output a pre-amplified low frequency RF signal. Similarly, a high frequency RF signal is pre-amplified within the high frequency RF generator to output a pre-amplified high frequency RF signal. The high frequency RF generator combines the pre-amplified low frequency RF with the pre-amplified high frequency RF signal to provide a combined RF signal. The combined RF signal is amplified within the high frequency RF generator to supply an amplified signal to a match. There is also no need for another match for the low frequency RF generator. 1. A radio frequency (RF) generator comprising:a first oscillator configured to generate a first RF signal;a second oscillator configured to generate a second RF signal;a first circuit coupled to the first oscillator to receive the first RF signal, wherein the first circuit is configured to apply a gain to the first RF signal to output a first adjusted RF signal;a second circuit coupled to the second oscillator to receive the second RF signal, wherein the second circuit is configured to apply a gain to the second RF signal to output a second adjusted RF signal;a combiner coupled to the first circuit to receive the first adjusted RF signal from the first circuit, wherein the combiner is coupled to the second circuit to receive the second adjusted RF signal from the second circuit, wherein the combiner is configured to multiply the first adjusted RF signal with the second adjusted RF signal to output a combined RF signal.2. The RF generator of claim 1 , wherein the combiner is configured to multiply a voltage of the first adjusted RF signal with a voltage of the second adjusted RF signal to output the combined RF signal claim 1 , wherein the combined RF ...

Подробнее
23-01-2020 дата публикации

SUBSTRATE SUPPORT APPARATUS AND PLASMA PROCESSING APPARATUS HAVING THE SAME

Номер: US20200027705A1
Принадлежит:

A substrate support apparatus includes a substrate stage to support a substrate, and a ground ring assembly along a circumference of the substrate stage, the ground ring assembly including a ground ring body, the ground ring body having a plurality of recesses along a circumferential portion thereof, and a plurality of ground blocks movable to be received into respective recesses of the plurality of recesses, the plurality of ground blocks including a conductive material to be electrically grounded. 1. A substrate support apparatus , comprising:a substrate stage to support a substrate; and a ground ring body, the ground ring body having a plurality of recesses along a circumferential portion thereof, and', 'a plurality of ground blocks movable to be received into respective recesses of the plurality of recesses, the plurality of ground blocks including a conductive material to be electrically grounded., 'a ground ring assembly along a circumference of the substrate stage, the ground ring assembly including2. The substrate support apparatus as claimed in claim 1 , further comprising a driving mechanism to independently drive each of the ground blocks.3. The substrate support apparatus as claimed in claim 2 , wherein the driving mechanism is to independently move rods connected to each of the plurality of ground blocks claim 2 , respectively claim 2 , upwardly and downwardly.4. The substrate support apparatus as claimed in claim 1 , wherein the plurality of the recesses is in a lower surface of the ground ring body claim 1 , and the plurality of ground blocks is below the ground ring body to be movable upwardly and downwardly.5. The substrate support apparatus as claimed in claim 1 , wherein claim 1 , when a ground block of the plurality of ground blocks is received into a corresponding recess of the plurality of recesses claim 1 , the ground block contacting an inner surface of the corresponding recess.6. The substrate support apparatus as claimed in claim 1 , ...

Подробнее
23-01-2020 дата публикации

TECHNIQUES, SYSTEM AND APPRATUS FOR SELECTIVE DEPOSITION OF A LAYER USING ANGLED IONS

Номер: US20200027707A1

A method is provided. The method may include providing a substrate, the substrate comprising a substrate surface, the substrate surface having a three-dimensional shape. The method may further include directing a depositing species from a deposition source to the substrate surface, wherein a layer is deposited on a deposition region of the substrate surface. The method may include performing a substrate scan during the directing or after the directing to transport the substrate from a first position to a second position. The method may also include directing angled ions to the substrate surface, in a presence of the layer, wherein the layer is sputter-etched from a first portion of the deposition region, and wherein the layer remains in a second portion of the deposition region. 1. A method , comprising:providing a substrate, the substrate comprising a substrate surface, the substrate surface having a three-dimensional shape;directing a depositing species from a deposition source to the substrate surface, wherein a layer is deposited on a deposition region of the substrate surface;performing a substrate scan during the directing or after the directing to transport the substrate from a first position to a second position; anddirecting angled ions to the substrate surface, in a presence of the layer, wherein the layer is sputter-etched from a first portion of the deposition region, and wherein the layer remains in a second portion of the deposition region.2. The method of claim 1 , wherein the deposition source comprises a first ion source claim 1 , the first ion source directing the depositing species at a first non-zero angle of incidence with respect to a perpendicular to a plane of the substrate claim 1 , wherein the deposition region comprises less than an entirety of the substrate surface.3. The method of claim 2 , wherein the substrate surface comprises a shadowed region claim 2 , wherein the layer does not form on the shadowed region.4. The method of claim 2 , ...

Подробнее
23-01-2020 дата публикации

Low Temperature High-Quality Dielectric Films

Номер: US20200027726A1
Принадлежит:

Techniques for deposition of high-density dielectric films for patterning applications are described. More particularly, a method of processing a substrate is provided. The method includes flowing a precursor-containing gas mixture into a processing volume of a processing chamber having a substrate positioned on an electrostatic chuck. The substrate is maintained at a pressure between about 0.1 mTorr and about 10 Torr. A plasma is generated at the substrate level by applying a first RF bias to the electrostatic chuck to deposit a dielectric film on the substrate. The dielectric film has a refractive index in a range of about 1.5 to about 3. 1. A method of processing a substrate , the method comprising:flowing a precursor-containing gas mixture into a processing volume of a processing chamber having a substrate positioned on an electrostatic chuck,maintaining the substrate at a pressure in a range of about 0.1 mTorr and about 10 Torr and at a temperature in a range of about −50° C. to about 150° C.; andgenerating a plasma at a substrate level by applying a first RF bias to the electrostatic chuck to deposit a dielectric film on the substrate, the dielectric film having a refractive index in a range of about 1.5 to about 3.2. The method of claim 1 , further comprising applying a second RF bias to the electrostatic chuck to generate the plasma at the substrate level.3. The method of claim 2 , wherein the second RF bias is provided at a power in a range of about 10 Watts to about 3000 Watts and at a frequency in a range of about 350 KHz to about 100 MHz.4. The method of claim 3 , wherein the second RF bias is provided at a power in a range of about 800 Watts to about 1200 Watts at a frequency of about 2 MHz.5. The method of claim 1 , wherein the first RF bias is provided at a power in a range of about 10 Watts to about 3000 Watts and at a frequency in a range of about 350 KHz to about 100 MHz.6. The method of claim 5 , wherein the first RF bias is provided at a power in ...

Подробнее
23-01-2020 дата публикации

COMPOSITE SINTERED BODY, ELECTROSTATIC CHUCK MEMBER, ELECTROSTATIC CHUCK DEVICE, AND METHOD FOR PRODUCING COMPOSITE SINTERED BODY

Номер: US20200027770A1
Принадлежит: Sumitomo Osaka Cement Co., Ltd.

A composite sintered body is a ceramic composite sintered body which includes metal oxide which is a main phase, and silicon carbide which is a sub-phase, in which crystal grains of the silicon carbide are dispersed in crystal grains of the metal oxide and at crystal grain boundaries of the metal oxide, and a proportion of the crystal grains of the silicon carbide dispersed in the crystal grains of the metal oxide is 25% or more in an area ratio with respect to a total crystal grains of the silicon carbide. 1. A composite sintered body which is a ceramic composite sintered body , comprising:a metal oxide which is a main phase; andsilicon carbide which is a sub-phase,wherein crystal grains of the silicon carbide are dispersed in crystal grains of the metal oxide and at crystal grain boundaries of the metal oxide, anda proportion of the crystal grains of the silicon carbide dispersed in the crystal grains of the metal oxide is 25% or more in an area ratio with respect to a total crystal grains of the silicon carbide.2. The composite sintered body according to claim 1 , wherein the metal oxide is aluminum oxide or yttrium oxide.3. The composite sintered body according to claim 1 , wherein an average crystal grain size of the metal oxide is 1.2 μm or more and 10 μm or less.4. An electrostatic chuck member comprising:{'claim-ref': {'@idref': 'CLM-00001', 'claim 1'}, 'a plate-shaped base which is formed of, as a forming material, the composite sintered body according to , the base having one principal surface which is a placing surface on which a plate-shaped sample is placed; and'}an electrostatic attraction electrode provided on a side opposite to the placing surface of the base, or in an interior of the base.5. An electrostatic chuck device comprising:{'claim-ref': {'@idref': 'CLM-00004', 'claim 4'}, 'the electrostatic chuck member according to .'}6. A method for producing a composite sintered body claim 1 , comprising:a step of mixing metal oxide particles and silicon ...

Подробнее
28-01-2021 дата публикации

SUBSTRATE TREATING APPARATUS AND SUBSTRATE TREATING SYSTEM HAVING THE SAME

Номер: US20210027993A1
Принадлежит: SAMSUNG ELECTRONICS CO., LTD.

A substrate treating apparatus, including a process chamber having a bottom portion configured to secure a substrate while a substrate treating process is performed on the substrate; and a dielectric window arranged at an upper portion of the process chamber to define a process space, and including: an insulative body, an antenna disposed on an upper surface of the insulative body, a protection layer disposed on a lower surface of the insulative body, and an etch resistor protruding from at least a portion of the protection layer toward the process space, wherein, based on power being applied to the antenna, a plasma is generated in the process space, and wherein the insulative body is protected from the plasma by the protection layer and the etch resistor. 1. A substrate treating apparatus , comprising:a process chamber having a bottom portion configured to secure a substrate while a substrate treating process is performed on the substrate; and an insulative body,', 'an antenna disposed on an upper surface of the insulative body,', 'a protection layer disposed on a lower surface of the insulative body, and', 'an etch resistor protruding from at least a portion of the protection layer toward the process space., 'a dielectric window arranged at an upper portion of the process chamber to define a process space, and including2. The substrate treating apparatus of claim 1 , wherein the insulative body includes at least one of alumina (AlO) claim 1 , quartz claim 1 , silicon carbide (SiC) claim 1 , and resin claim 1 , andthe protection layer includes a metal oxide.3. The substrate treating apparatus of claim 2 , wherein the metal oxide includes at least one of yttrium oxide (YO) claim 2 , zirconium oxide (ZrO) claim 2 , manganese oxide (MnO) and yttrium aluminum garnet (YAlO).4. The substrate treating apparatus of claim 1 , wherein a thickness of the etch resistor is in a range of 30% to 50% of a thickness of the protection layer.5. The substrate treating apparatus of ...

Подробнее
28-01-2021 дата публикации

LIFT PIN ALIGNMENT METHOD AND ALIGNMENT APPARATUS AND SUBSTRATE PROCESSING APPARATUS

Номер: US20210028052A1
Принадлежит:

A substrate processing apparatus and a lift pin alignment apparatus, the substrate processing apparatus including a chamber; a substrate plate on which the substrate is seatable; a plurality of movable lift in the substrate plate to support the substrate; a leveling sensor configured to be loadable in the chamber on the lift pins; a controller configured to receive measurement values of roll (φ) and pitch (θ) of a plane of the lift pins to calculate a rotation matrix (T) of the plane from the measurement values of roll (φ) and pitch (θ), and to calculate travel distances of the lift pins for leveling the plane to be parallel with a horizontal reference plane by using the rotation matrix (T) and to output a lift pin control signal for aligning the lift pins; and a lift pin driver to move the lift pins according to the lift pin control signal. 1. A substrate processing apparatus , comprising:a chamber to provide a space for processing a substrate;a substrate plate within the chamber and on which the substrate is seatable;a plurality of lift pins protruding from within the substrate plate to support the substrate, the plurality of lift pins being configured to move upwardly and downwardly;a leveling sensor configured to be loadable in the chamber on the plurality of lift pins that protrude from the substrate plate;a controller configured to receive measurement values of roll (φ) and pitch (θ) representing an angle of a plane of the plurality of lift pins from the leveling sensor to calculate a rotation matrix (T) of the plane from the measurement values of roll (φ) and pitch (θ), to calculate travel distances of the lift pins for leveling the plane to be parallel with a horizontal reference plane by using the rotation matrix (T), and to output a lift pin control signal for aligning the plurality of lift pins in a horizontal plane; anda lift pin driver configured to move the plurality of lift pins according to the lift pin control signal.2. The substrate processing ...

Подробнее
01-02-2018 дата публикации

FIXTURE COMPRISING MAGNETIC MEANS FOR HOLDING ROTARY SYMMETRIC WORKPIECES

Номер: US20180030595A1
Принадлежит:

A method for using a fixture system and a fixture system for holding workpieces or parts to be treated by a plasma assisted vacuum process, the fixture system including magnetic means which generate a magnetic field with a magnetic force which is high enough for holding the workpiece or part. The magnetic means of the fixture system are designed and arranged in such a manner that magnetic field lines of the generated magnetic field are largely confined to the space including the fixture system and the body of the workpiece or part, so that a generation of unintended plasma inhomogeneities caused by the magnetic field lines is avoided. 1. A fixture system comprising several parts , at least one of the parts being a holding part for holding a workpiece comprising ferromagnetic substances , said workpiece comprising a body with two ends and exhibiting along a rotary axis a symmetric shape with a radial dimension and with surfaces to be treated by means of a plasma assisted vacuum treatment process , said holding part comprising magnetic means which generate a magnetic field with a magnetic force in the rotatory axis direction which is high enough for holding the workpiece if the workpiece is placed on a holding surface of the holding part in such a manner that one of its ends is in contact with the holding surface of the holding part , characterized in that:the magnetic means of the holding part are designed and arranged in such a manner that the magnetic field lines of the generated magnetic field are at least largely confined to the space occupied with parts of the fixture system or body of the workpiece, so that a generation of side plasmas caused by the magnetic field lines during the execution of a plasma treatment is avoided.22651651653. A fixture system according to claim 1 , characterized in that the holding part comprises a fixture base () claim 1 , a magnet yoke () comprising an opening claim 1 , an outer diameter and an inner diameter claim 1 , said magnet ...

Подробнее
01-05-2014 дата публикации

ELECTROSTATIC CHUCK AND SUBSTRATE PROCESSING APPARATUS

Номер: US20140116622A1
Автор: LEE Won Haeng
Принадлежит:

Provided is a substrate processing apparatus using plasma. The apparatus includes a chamber having a processing space therein, a substrate supporting assembly located in the chamber and including an electrostatic chuck supporting a substrate, a gas supplying unit supplying gases into the chamber, and a power source applying power for generating plasma from the gases supplied into the chamber. The electrostatic chuck includes a dielectric plate including an electrode adsorbing the substrate by using an electrostatic force, a body located below the dielectric plate and including a metallic plate to which a high frequency power source is connected, and a bonding unit located between the dielectric plate and the body and fastening the dielectric plate and the body. The bonding unit is formed as a multilayer structure. 1. A substrate processing apparatus comprising:a chamber having a processing space therein;a substrate supporting assembly located in the chamber and comprising an electrostatic chuck supporting a substrate;a gas supplying unit supplying gases into the chamber; anda power source applying power for generating plasma from the gases supplied into the chamber,wherein the electrostatic chuck comprises:a dielectric plate comprising an electrode adsorbing the substrate by using an electrostatic force;a body located below the dielectric plate and comprising a metallic plate to which a high frequency power source is connected; anda bonding unit located between the dielectric plate and the body and fastening the dielectric plate and the body,wherein the bonding unit is formed as a multilayer structure.2. The apparatus of claim 1 , wherein the multilayer structure comprises an acryl layer and a silicon layer.3. The apparatus of claim 2 , wherein the silicon layer is located above the acryl layer.4. The apparatus of claim 3 , wherein the multilayer structure further comprises a bonding intermediate layer provided between the silicon layer and the acryl layer to allow ...

Подробнее
02-02-2017 дата публикации

PLASMA TREATMENT APPARATUS AND METHOD OF PLASMA TREATING A SUBSTRATE USING THE SAME

Номер: US20170032932A1
Принадлежит:

Exemplary embodiments of the inventive concept provide a plasma treatment apparatus with a substrate support unit, a plasma unit, a first rotation driving unit, and a gas supply part. The substrate support unit supports a substrate. The plasma unit generates a plasma and provides the plasma to the substrate. The first rotation driving unit is coupled to the plasma unit to rotate the plasma unit with respect to the substrate support unit. The gas supply part supplies a source gas to the plasma unit. The plasma unit includes a body, a first electrode located in the body, a second electrode located in the body and facing the first electrode, and a pipe located between the first and second electrodes to flow the source gas therethrough. 1. A plasma treatment apparatus comprising:a substrate support configured to support a substrate;a plasma generator configured to generate a plasma and provide the plasma to the substrate;a first rotation driver coupled to the plasma generator to rotate the plasma generator with respect to the substrate support; anda gas supplier configured to supply a source gas to the plasma generator,wherein the plasma generator comprises:a body;a first electrode located in the body;a second electrode located in the body and facing the first electrode; anda pipe located between the first and second electrodes to flow the source gas therethrough.2. The plasma treatment apparatus of claim 1 , further comprising a chamber to accommodating the substrate support claim 1 , the plasma generator claim 1 , and the first rotation driver claim 1 , wherein the plasma generator comprises an outlet and the outlet is configured to rotate toward sidewalls of the chamber by the first rotation driver claim 1 , wherein the sidewalls of the chamber face each other.3. The plasma treatment apparatus of claim 2 , wherein the first rotation driver comprises:a first rotation shaft coupled to the plasma generator; anda first rotation driving part configured to drive the first ...

Подробнее